From c8d91c0b0d838f43b8c45c8871d0363f5306e121 Mon Sep 17 00:00:00 2001 From: "Lukas F. Hartmann" Date: Fri, 19 Nov 2021 22:36:13 +0100 Subject: [PATCH] WIP: component sketch of kailh ortho kbd 7 inch test --- .../Kailh_keycap-switch_system_1x1.step_ | 13529 ++++++++++++++++ .../Kailh_keycap-switch_system_1x1.wrl_ | 6791 ++++++++ .../3d-models/S4B-PH-SM4-TB.stp | 5824 +++++++ .../pocket-reform-kbd-mcu.sch | 930 ++ ...cket-reform-keyboard-kailh-ortho-cache.lib | 308 + ...cket-reform-keyboard-kailh-ortho.kicad_pcb | 7888 +++++++++ .../pocket-reform-keyboard-kailh-ortho.pro | 33 + .../pocket-reform-keyboard-kailh-ortho.sch | 2141 +++ 8 files changed, 37444 insertions(+) create mode 100644 pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.step_ create mode 100644 pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.wrl_ create mode 100644 pocket-reform-keyboard-kailh-ortho/3d-models/S4B-PH-SM4-TB.stp create mode 100644 pocket-reform-keyboard-kailh-ortho/pocket-reform-kbd-mcu.sch create mode 100644 pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho-cache.lib create mode 100644 pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.kicad_pcb create mode 100644 pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.pro create mode 100644 pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.sch diff --git a/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.step_ b/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.step_ new file mode 100644 index 0000000..57435d2 --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.step_ @@ -0,0 +1,13529 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ +'C:/Users/Gebruiker/Google Drive/Lukas/4th phase/3D concepts/keyboard/ +kaihl/Kailh_keycap-switch_system_1x1.step', +/* time_stamp */ '2019-04-25T14:32:55+02:00', +/* author */ ('aninhalbertini@gmail.com'), +/* organization */ (''), +/* preprocessor_version */ 'ST-DEVELOPER v18', +/* originating_system */ 'Autodesk Translation Framework v8.2.0.1029', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#42,#43, +#44,#45),#12811); +#11=ITEM_DEFINED_TRANSFORMATION($,$,#7774,#8326); +#12=ITEM_DEFINED_TRANSFORMATION($,$,#8256,#8327); +#13=( +REPRESENTATION_RELATIONSHIP($,$,#12825,#12824) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#14=( +REPRESENTATION_RELATIONSHIP($,$,#12826,#12824) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#12) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#15=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#13,#12822); +#16=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#14,#12823); +#17=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CPG135001D02 BROWN v5:1', +'CPG135001D02 BROWN v5:1','CPG135001D02 BROWN v5:1',#12828,#12829, +'CPG135001D02 BROWN v5:1'); +#18=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Kailh_keycap:1','Kailh_keycap:1', +'Kailh_keycap:1',#12828,#12830,'Kailh_keycap:1'); +#19=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12678,#12679,#12680,#12681,#12682, +#12683,#12684,#12685,#12686,#12687,#12688,#12689),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,4),(0.104341882746483,0.110234571096169,0.116086666027826,0.121938760959483, +0.127805225892332,0.131931061217292),.UNSPECIFIED.); +#20=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12720,#12721,#12722,#12723,#12724, +#12725,#12726,#12727,#12728,#12729,#12730,#12731),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,4),(0.111971294771395,0.116097130096355,0.121963595029205,0.127815689960862, +0.133667784892519,0.139560473242205),.UNSPECIFIED.); +#21=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12747,#12748,#12749,#12750,#12751, +#12752,#12753,#12754),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.00714558523452925, +0.0140797223538361,0.0215339964670868),.UNSPECIFIED.); +#22=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12776,#12777,#12778,#12779,#12780, +#12781,#12782,#12783),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.00779502395366888, +0.0146155032102309,0.0215196031423538),.UNSPECIFIED.); +#23=ELLIPSE('',#8293,0.306502542457282,0.2); +#24=ELLIPSE('',#8296,0.309951335925588,0.153208804474235); +#25=ELLIPSE('',#8297,8.07157937527924,2.34551104888224); +#26=ELLIPSE('',#8299,0.309951335925596,0.153208804474232); +#27=ELLIPSE('',#8305,0.306502542457282,0.2); +#28=ELLIPSE('',#8307,8.07157937527919,2.34551104888228); +#29=ELLIPSE('',#8309,0.258412189155489,0.2); +#30=ELLIPSE('',#8310,0.306502542457282,0.2); +#31=ELLIPSE('',#8312,0.35974099050138,0.2); +#32=ELLIPSE('',#8316,0.306502542457282,0.2); +#33=ELLIPSE('',#8317,0.258412189155489,0.2); +#34=ELLIPSE('',#8319,0.35974099050138,0.2); +#35=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#12825,#37); +#36=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#12826,#38); +#37=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#7749,#39,#40),#12809); +#38=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#41),#12810); +#39=MANIFOLD_SOLID_BREP('Body3',#7746); +#40=MANIFOLD_SOLID_BREP('Body4',#7747); +#41=MANIFOLD_SOLID_BREP('Body1',#7748); +#42=STYLED_ITEM('',(#12843),#7749); +#43=STYLED_ITEM('',(#12843),#39); +#44=STYLED_ITEM('',(#12843),#40); +#45=STYLED_ITEM('',(#12843),#41); +#46=ORIENTED_CLOSED_SHELL('',*,#7729,.F.); +#47=ORIENTED_CLOSED_SHELL('',*,#7730,.F.); +#48=ORIENTED_CLOSED_SHELL('',*,#7731,.F.); +#49=ORIENTED_CLOSED_SHELL('',*,#7732,.F.); +#50=ORIENTED_CLOSED_SHELL('',*,#7733,.F.); +#51=ORIENTED_CLOSED_SHELL('',*,#7734,.F.); +#52=ORIENTED_CLOSED_SHELL('',*,#7735,.F.); +#53=ORIENTED_CLOSED_SHELL('',*,#7736,.F.); +#54=ORIENTED_CLOSED_SHELL('',*,#7737,.F.); +#55=ORIENTED_CLOSED_SHELL('',*,#7738,.F.); +#56=ORIENTED_CLOSED_SHELL('',*,#7739,.F.); +#57=ORIENTED_CLOSED_SHELL('',*,#7740,.F.); +#58=ORIENTED_CLOSED_SHELL('',*,#7741,.F.); +#59=ORIENTED_CLOSED_SHELL('',*,#7742,.F.); +#60=ORIENTED_CLOSED_SHELL('',*,#7743,.F.); +#61=ORIENTED_CLOSED_SHELL('',*,#7744,.F.); +#62=ORIENTED_CLOSED_SHELL('',*,#7745,.F.); +#63=CYLINDRICAL_SURFACE('',#7898,0.79831671986735); +#64=CYLINDRICAL_SURFACE('',#7900,0.79831671986735); +#65=CYLINDRICAL_SURFACE('',#7902,0.781186222712953); +#66=CYLINDRICAL_SURFACE('',#7904,0.781186222712953); +#67=CYLINDRICAL_SURFACE('',#7906,0.781186222712953); +#68=CYLINDRICAL_SURFACE('',#7908,0.195977951929345); +#69=CYLINDRICAL_SURFACE('',#7911,1.50106976843427); +#70=CYLINDRICAL_SURFACE('',#7914,1.51016122236958); +#71=CYLINDRICAL_SURFACE('',#7917,0.191260272187691); +#72=CYLINDRICAL_SURFACE('',#7920,0.781186222712953); +#73=CYLINDRICAL_SURFACE('',#7922,0.79831671986735); +#74=CYLINDRICAL_SURFACE('',#7925,0.805247794394685); +#75=CYLINDRICAL_SURFACE('',#7927,0.805247794394685); +#76=CYLINDRICAL_SURFACE('',#7929,0.805247794394685); +#77=CYLINDRICAL_SURFACE('',#7941,0.815179480017232); +#78=CYLINDRICAL_SURFACE('',#7942,0.815179480017232); +#79=CYLINDRICAL_SURFACE('',#7943,0.815179480017232); +#80=CYLINDRICAL_SURFACE('',#7945,0.191777588290237); +#81=CYLINDRICAL_SURFACE('',#7947,1.51399436235856); +#82=CYLINDRICAL_SURFACE('',#7949,1.50899306430418); +#83=CYLINDRICAL_SURFACE('',#7951,0.191217131151721); +#84=CYLINDRICAL_SURFACE('',#7953,0.815179480017232); +#85=CYLINDRICAL_SURFACE('',#7954,0.805247794394685); +#86=CYLINDRICAL_SURFACE('',#8105,0.537021484375003); +#87=CYLINDRICAL_SURFACE('',#8109,0.537020987786311); +#88=CYLINDRICAL_SURFACE('',#8113,0.191217131151715); +#89=CYLINDRICAL_SURFACE('',#8117,0.534431973602732); +#90=CYLINDRICAL_SURFACE('',#8121,0.536473092097231); +#91=CYLINDRICAL_SURFACE('',#8125,0.191217805499081); +#92=CYLINDRICAL_SURFACE('',#8129,0.537020987786311); +#93=CYLINDRICAL_SURFACE('',#8132,0.552012902298398); +#94=CYLINDRICAL_SURFACE('',#8136,0.191222657826509); +#95=CYLINDRICAL_SURFACE('',#8140,0.535515744162093); +#96=CYLINDRICAL_SURFACE('',#8144,0.53497110624373); +#97=CYLINDRICAL_SURFACE('',#8148,0.191189266072959); +#98=CYLINDRICAL_SURFACE('',#8159,0.20272952214097); +#99=CYLINDRICAL_SURFACE('',#8162,0.190669331502921); +#100=CYLINDRICAL_SURFACE('',#8165,0.191636757189915); +#101=CYLINDRICAL_SURFACE('',#8169,0.174567913346108); +#102=CYLINDRICAL_SURFACE('',#8173,0.983897826680776); +#103=CYLINDRICAL_SURFACE('',#8177,0.992675135484612); +#104=CYLINDRICAL_SURFACE('',#8187,0.992753012693885); +#105=CYLINDRICAL_SURFACE('',#8191,0.983897792035572); +#106=CYLINDRICAL_SURFACE('',#8195,0.171419119151779); +#107=CYLINDRICAL_SURFACE('',#8200,0.202766633537208); +#108=CYLINDRICAL_SURFACE('',#8219,1.7); +#109=CYLINDRICAL_SURFACE('',#8223,0.95); +#110=CYLINDRICAL_SURFACE('',#8228,0.95); +#111=CYLINDRICAL_SURFACE('',#8239,1.04206725187881); +#112=CYLINDRICAL_SURFACE('',#8243,1.04206263840204); +#113=CYLINDRICAL_SURFACE('',#8247,1.03283461211463); +#114=CYLINDRICAL_SURFACE('',#8251,1.03435435739568); +#115=CYLINDRICAL_SURFACE('',#8292,0.2); +#116=CYLINDRICAL_SURFACE('',#8304,0.2); +#117=CYLINDRICAL_SURFACE('',#8308,0.2); +#118=CYLINDRICAL_SURFACE('',#8311,0.2); +#119=CYLINDRICAL_SURFACE('',#8315,0.2); +#120=CYLINDRICAL_SURFACE('',#8318,0.2); +#121=FACE_BOUND('',#821,.T.); +#122=FACE_BOUND('',#890,.T.); +#123=FACE_BOUND('',#891,.T.); +#124=FACE_BOUND('',#892,.T.); +#125=FACE_BOUND('',#893,.T.); +#126=FACE_BOUND('',#894,.T.); +#127=FACE_BOUND('',#895,.T.); +#128=FACE_BOUND('',#896,.T.); +#129=FACE_BOUND('',#897,.T.); +#130=FACE_BOUND('',#898,.T.); +#131=FACE_BOUND('',#899,.T.); +#132=FACE_BOUND('',#900,.T.); +#133=FACE_BOUND('',#901,.T.); +#134=FACE_BOUND('',#902,.T.); +#135=FACE_BOUND('',#903,.T.); +#136=FACE_BOUND('',#904,.T.); +#137=FACE_BOUND('',#1104,.T.); +#138=FACE_BOUND('',#1105,.T.); +#139=FACE_BOUND('',#1174,.T.); +#140=FACE_BOUND('',#1175,.T.); +#141=FACE_BOUND('',#1176,.T.); +#142=FACE_BOUND('',#1177,.T.); +#143=FACE_BOUND('',#1178,.T.); +#144=FACE_BOUND('',#1179,.T.); +#145=FACE_BOUND('',#1180,.T.); +#146=FACE_BOUND('',#1182,.T.); +#147=FACE_BOUND('',#1183,.T.); +#148=FACE_BOUND('',#1214,.T.); +#149=FACE_BOUND('',#1215,.T.); +#150=FACE_BOUND('',#1225,.T.); +#151=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10474,#10475),(#10476,#10477),(#10478,#10479),(#10480, +#10481)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.849591303407691,-0.457114070583987), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#152=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10496,#10497),(#10498,#10499),(#10500,#10501),(#10502, +#10503)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-1.,-0.876010482937145),(0.,0.04), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#153=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10532,#10533),(#10534,#10535),(#10536,#10537),(#10538, +#10539)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.849591303407691,0.876010482937145), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#154=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10552,#10553),(#10554,#10555),(#10556,#10557),(#10558, +#10559)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.272636036993366,0.457114070583831), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#155=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10573,#10574),(#10575,#10576),(#10577,#10578),(#10579, +#10580)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.00219757679383936,0.0885974034865854), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#156=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#10591,#10592),(#10593,#10594),(#10595,#10596),(#10597, +#10598)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.272636036993365,-0.0885974034869417), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#157=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11312,#11313),(#11314,#11315),(#11316,#11317),(#11318, +#11319)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.237729706171922,-0.0822852178939927), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#158=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11330,#11331),(#11332,#11333),(#11334,#11335),(#11336, +#11337)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.,0.0822852178939926),(0.,0.04), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#159=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11350,#11351),(#11352,#11353),(#11354,#11355),(#11356, +#11357)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.237729706172102,0.452943170245248), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#160=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11372,#11373),(#11374,#11375),(#11376,#11377),(#11378, +#11379)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.843845022944386,0.87288824798065), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#161=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11402,#11403),(#11404,#11405),(#11406,#11407),(#11408, +#11409)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-1.,-0.872888247980652),(0.,0.04), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#162=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11422,#11423),(#11424,#11425),(#11426,#11427),(#11428, +#11429)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.843845022944307,-0.452943170245248), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#163=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11678,#11679),(#11680,#11681),(#11682,#11683),(#11684, +#11685)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.268276135896892,0.384364496486792), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#164=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11700,#11701),(#11702,#11703),(#11704,#11705),(#11706, +#11707)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.826787758089282,0.85657260627728), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#165=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11718,#11719),(#11720,#11721),(#11722,#11723),(#11724, +#11725)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(0.993648031670748,1.),(0.,0.04), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#166=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11743,#11744),(#11745,#11746),(#11747,#11748),(#11749, +#11750)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.993648031667805,-0.85657260627728), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#167=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11763,#11764),(#11765,#11766),(#11767,#11768),(#11769, +#11770)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.826787758089281,-0.384364496486802), +(0.,0.04),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#168=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(3,1,((#11783,#11784),(#11785,#11786),(#11787,#11788),(#11789, +#11790)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((4,4),(2,2),(-0.268276135896883,0.),(0.,0.04), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.),(1.,1.),(1.,1.),(1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#169=CIRCLE('',#7785,1.03435435739568); +#170=CIRCLE('',#7786,0.752231188262134); +#171=CIRCLE('',#7789,1.04206725187881); +#172=CIRCLE('',#7790,0.759944082745262); +#173=CIRCLE('',#7793,1.04206263840204); +#174=CIRCLE('',#7794,0.759939469268495); +#175=CIRCLE('',#7797,1.03283461211463); +#176=CIRCLE('',#7798,0.750711442981083); +#177=CIRCLE('',#7829,0.781186222712953); +#178=CIRCLE('',#7830,0.781186222712953); +#179=CIRCLE('',#7831,0.781186222712953); +#180=CIRCLE('',#7832,0.781186222712953); +#181=CIRCLE('',#7833,0.79831671986735); +#182=CIRCLE('',#7834,0.79831671986735); +#183=CIRCLE('',#7835,0.79831671986735); +#184=CIRCLE('',#7836,0.195977951929345); +#185=CIRCLE('',#7837,1.50106976843427); +#186=CIRCLE('',#7838,1.51016122236958); +#187=CIRCLE('',#7839,0.191260272187691); +#188=CIRCLE('',#7842,0.191777588290237); +#189=CIRCLE('',#7843,1.51399436235856); +#190=CIRCLE('',#7844,1.50899306430418); +#191=CIRCLE('',#7845,0.191217131151721); +#192=CIRCLE('',#7846,0.815179480017232); +#193=CIRCLE('',#7847,0.815179480017232); +#194=CIRCLE('',#7848,0.815179480017232); +#195=CIRCLE('',#7849,0.815179480017232); +#196=CIRCLE('',#7850,0.805247794394685); +#197=CIRCLE('',#7851,0.805247794394685); +#198=CIRCLE('',#7852,0.805247794394685); +#199=CIRCLE('',#7853,0.805247794394685); +#200=CIRCLE('',#7899,0.79831671986735); +#201=CIRCLE('',#7901,0.79831671986735); +#202=CIRCLE('',#7903,0.781186222712953); +#203=CIRCLE('',#7905,0.781186222712953); +#204=CIRCLE('',#7907,0.781186222712953); +#205=CIRCLE('',#7909,0.195977951929345); +#206=CIRCLE('',#7912,1.50106976843427); +#207=CIRCLE('',#7915,1.51016122236958); +#208=CIRCLE('',#7918,0.191260272187691); +#209=CIRCLE('',#7921,0.781186222712953); +#210=CIRCLE('',#7923,0.79831671986735); +#211=CIRCLE('',#7926,0.805247794394685); +#212=CIRCLE('',#7928,0.805247794394685); +#213=CIRCLE('',#7930,0.805247794394685); +#214=CIRCLE('',#7932,0.191217131151721); +#215=CIRCLE('',#7933,1.50899306430418); +#216=CIRCLE('',#7934,1.51399436235856); +#217=CIRCLE('',#7935,0.191777588290237); +#218=CIRCLE('',#7936,0.805247794394685); +#219=CIRCLE('',#7937,0.815179480017232); +#220=CIRCLE('',#7938,0.815179480017232); +#221=CIRCLE('',#7939,0.815179480017232); +#222=CIRCLE('',#7940,0.815179480017232); +#223=CIRCLE('',#8106,0.537021484375003); +#224=CIRCLE('',#8107,0.537021484375003); +#225=CIRCLE('',#8110,0.537020987786311); +#226=CIRCLE('',#8111,0.537020987786311); +#227=CIRCLE('',#8114,0.191217131151715); +#228=CIRCLE('',#8115,0.191217131151715); +#229=CIRCLE('',#8118,0.534431973602732); +#230=CIRCLE('',#8119,0.534431973602732); +#231=CIRCLE('',#8122,0.536473092097231); +#232=CIRCLE('',#8123,0.536473092097231); +#233=CIRCLE('',#8126,0.191217805499081); +#234=CIRCLE('',#8127,0.191217805499081); +#235=CIRCLE('',#8130,0.537020987786311); +#236=CIRCLE('',#8131,0.537020987786311); +#237=CIRCLE('',#8133,0.552012902298398); +#238=CIRCLE('',#8134,0.552012902298398); +#239=CIRCLE('',#8137,0.191222657826509); +#240=CIRCLE('',#8138,0.191222657826509); +#241=CIRCLE('',#8141,0.535515744162093); +#242=CIRCLE('',#8142,0.535515744162093); +#243=CIRCLE('',#8145,0.53497110624373); +#244=CIRCLE('',#8146,0.53497110624373); +#245=CIRCLE('',#8149,0.191189266072959); +#246=CIRCLE('',#8150,0.191189266072959); +#247=CIRCLE('',#8152,0.191636757189915); +#248=CIRCLE('',#8153,0.190669331502921); +#249=CIRCLE('',#8154,0.20272952214097); +#250=CIRCLE('',#8155,0.202766633537208); +#251=CIRCLE('',#8160,0.20272952214097); +#252=CIRCLE('',#8163,0.190669331502921); +#253=CIRCLE('',#8166,0.191636757189915); +#254=CIRCLE('',#8170,0.174567913346108); +#255=CIRCLE('',#8171,0.174567913346108); +#256=CIRCLE('',#8174,0.983897826680776); +#257=CIRCLE('',#8175,0.983897826680776); +#258=CIRCLE('',#8178,0.992675135484612); +#259=CIRCLE('',#8179,0.992675135484612); +#260=CIRCLE('',#8188,0.992753012693885); +#261=CIRCLE('',#8189,0.992753012693885); +#262=CIRCLE('',#8192,0.983897792035572); +#263=CIRCLE('',#8193,0.983897792035572); +#264=CIRCLE('',#8196,0.171419119151779); +#265=CIRCLE('',#8197,0.171419119151779); +#266=CIRCLE('',#8201,0.202766633537208); +#267=CIRCLE('',#8217,1.2); +#268=CIRCLE('',#8218,1.7); +#269=CIRCLE('',#8220,1.7); +#270=CIRCLE('',#8224,0.95); +#271=CIRCLE('',#8225,0.95); +#272=CIRCLE('',#8229,0.95); +#273=CIRCLE('',#8230,0.95); +#274=CIRCLE('',#8240,1.04206725187881); +#275=CIRCLE('',#8241,1.04206725187881); +#276=CIRCLE('',#8244,1.04206263840204); +#277=CIRCLE('',#8245,1.04206263840204); +#278=CIRCLE('',#8248,1.03283461211463); +#279=CIRCLE('',#8249,1.03283461211463); +#280=CIRCLE('',#8252,1.03435435739568); +#281=CIRCLE('',#8253,1.03435435739568); +#282=CIRCLE('',#8294,0.2); +#283=CIRCLE('',#8301,0.2); +#284=CIRCLE('',#8302,0.2); +#285=CIRCLE('',#8303,0.2); +#286=CONICAL_SURFACE('',#7784,0.752231188262134,0.174532925199433); +#287=CONICAL_SURFACE('',#7788,0.759944082745262,0.174532925199433); +#288=CONICAL_SURFACE('',#7792,0.759939469268495,0.174532925199433); +#289=CONICAL_SURFACE('',#7796,0.750711442981083,0.174532925199433); +#290=CONICAL_SURFACE('',#8216,1.45,0.785398163397448); +#291=CONICAL_SURFACE('',#8295,0.957052591516477,0.294137355159515); +#292=CONICAL_SURFACE('',#8306,0.957052591516477,0.294137355159515); +#293=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10355,#10356,#10357,#10358),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-1.,-0.876010482937145),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#294=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10361,#10362,#10363,#10364),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.849591303407691,0.876010482937145), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#295=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10373,#10374,#10375,#10376),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.849591303407691,-0.457114070583987), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#296=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10379,#10380,#10381,#10382),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.272636036993366,0.457114070583831), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#297=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10391,#10392,#10393,#10394),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.272636036993365,-0.0885974034869417), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#298=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10397,#10398,#10399,#10400),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.00219757679383936,0.0885974034865854), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#299=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#10422,#10423,#10424),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0177948706563979,0.180037352098208), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#300=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#10436,#10437,#10438),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0177782537199171,0.180022668878856), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((0.999999999161801,0.999999995720859,0.999999999284363)) +REPRESENTATION_ITEM('') +); +#301=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#10444,#10445,#10446),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0177782582246525,0.180022666876931), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.00000000012204,1.00000000062301,1.00000000010419)) +REPRESENTATION_ITEM('') +); +#302=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10483,#10484,#10485,#10486),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.457114070583987,0.849591303407691), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#303=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10505,#10506,#10507,#10508),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.876010482937145,1.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#304=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10540,#10541,#10542,#10543),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.876010482937145,-0.849591303407691), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#305=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10560,#10561,#10562,#10563),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.457114070583831,-0.272636036993366), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#306=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10582,#10583,#10584,#10585),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.0885974034865854,-0.00219757679383936), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#307=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#10599,#10600,#10601,#10602),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0885974034869417,0.272636036993365), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#308=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11254,#11255,#11256,#11257),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.452943170245248,-0.237729706172102), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#309=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11262,#11263,#11264,#11265),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.872888247980652,1.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#310=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11278,#11279,#11280,#11281),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.87288824798065,-0.843845022944386), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#311=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11286,#11287,#11288,#11289),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.0822852178939926,0.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#312=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11294,#11295,#11296,#11297),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0822852178939927,0.237729706171922), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#313=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11299,#11300,#11301,#11302),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.452943170245248,0.843845022944307), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#314=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11322,#11323,#11324,#11325),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.237729706171922,-0.0822852178939927), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#315=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11339,#11340,#11341,#11342),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.,0.0822852178939926),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#316=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11360,#11361,#11362,#11363),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.237729706172102,0.452943170245248), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#317=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11382,#11383,#11384,#11385),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.843845022944386,0.87288824798065), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#318=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11411,#11412,#11413,#11414),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-1.,-0.872888247980652),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#319=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11431,#11432,#11433,#11434),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.843845022944307,-0.452943170245248), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#320=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11616,#11617,#11618,#11619),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.,0.268276135896883),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#321=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11631,#11632,#11633,#11634),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-1.,-0.993648031670748),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#322=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11637,#11638,#11639,#11640),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.85657260627728,0.993648031667805), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#323=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11647,#11648,#11649,#11650),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.85657260627728,-0.826787758089282), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#324=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11653,#11654,#11655,#11656),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.384364496486802,0.826787758089281), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#325=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11664,#11665,#11666,#11667),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.384364496486792,-0.268276135896892), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#326=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11688,#11689,#11690,#11691),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.268276135896892,0.384364496486792), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#327=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11710,#11711,#11712,#11713),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.826787758089282,0.85657260627728), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#328=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11728,#11729,#11730,#11731),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.993648031670748,1.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#329=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11752,#11753,#11754,#11755),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.993648031667805,-0.85657260627728), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#330=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11772,#11773,#11774,#11775),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.826787758089281,-0.384364496486802), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#331=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#11791,#11792,#11793,#11794),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,4),(-0.268276135896883,0.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#332=FACE_OUTER_BOUND('',#771,.T.); +#333=FACE_OUTER_BOUND('',#772,.T.); +#334=FACE_OUTER_BOUND('',#773,.T.); +#335=FACE_OUTER_BOUND('',#774,.T.); +#336=FACE_OUTER_BOUND('',#775,.T.); +#337=FACE_OUTER_BOUND('',#776,.T.); +#338=FACE_OUTER_BOUND('',#777,.T.); +#339=FACE_OUTER_BOUND('',#778,.T.); +#340=FACE_OUTER_BOUND('',#779,.T.); +#341=FACE_OUTER_BOUND('',#780,.T.); +#342=FACE_OUTER_BOUND('',#781,.T.); +#343=FACE_OUTER_BOUND('',#782,.T.); +#344=FACE_OUTER_BOUND('',#783,.T.); +#345=FACE_OUTER_BOUND('',#784,.T.); +#346=FACE_OUTER_BOUND('',#785,.T.); +#347=FACE_OUTER_BOUND('',#786,.T.); +#348=FACE_OUTER_BOUND('',#787,.T.); +#349=FACE_OUTER_BOUND('',#788,.T.); +#350=FACE_OUTER_BOUND('',#789,.T.); +#351=FACE_OUTER_BOUND('',#790,.T.); +#352=FACE_OUTER_BOUND('',#791,.T.); +#353=FACE_OUTER_BOUND('',#792,.T.); +#354=FACE_OUTER_BOUND('',#793,.T.); +#355=FACE_OUTER_BOUND('',#794,.T.); +#356=FACE_OUTER_BOUND('',#795,.T.); +#357=FACE_OUTER_BOUND('',#796,.T.); +#358=FACE_OUTER_BOUND('',#797,.T.); +#359=FACE_OUTER_BOUND('',#798,.T.); +#360=FACE_OUTER_BOUND('',#799,.T.); +#361=FACE_OUTER_BOUND('',#800,.T.); +#362=FACE_OUTER_BOUND('',#801,.T.); +#363=FACE_OUTER_BOUND('',#802,.T.); +#364=FACE_OUTER_BOUND('',#803,.T.); +#365=FACE_OUTER_BOUND('',#804,.T.); +#366=FACE_OUTER_BOUND('',#805,.T.); +#367=FACE_OUTER_BOUND('',#806,.T.); +#368=FACE_OUTER_BOUND('',#807,.T.); +#369=FACE_OUTER_BOUND('',#808,.T.); +#370=FACE_OUTER_BOUND('',#809,.T.); +#371=FACE_OUTER_BOUND('',#810,.T.); +#372=FACE_OUTER_BOUND('',#811,.T.); +#373=FACE_OUTER_BOUND('',#812,.T.); +#374=FACE_OUTER_BOUND('',#813,.T.); +#375=FACE_OUTER_BOUND('',#814,.T.); +#376=FACE_OUTER_BOUND('',#815,.T.); +#377=FACE_OUTER_BOUND('',#816,.T.); +#378=FACE_OUTER_BOUND('',#817,.T.); +#379=FACE_OUTER_BOUND('',#818,.T.); +#380=FACE_OUTER_BOUND('',#819,.T.); +#381=FACE_OUTER_BOUND('',#820,.T.); +#382=FACE_OUTER_BOUND('',#822,.T.); +#383=FACE_OUTER_BOUND('',#823,.T.); +#384=FACE_OUTER_BOUND('',#824,.T.); +#385=FACE_OUTER_BOUND('',#825,.T.); +#386=FACE_OUTER_BOUND('',#826,.T.); +#387=FACE_OUTER_BOUND('',#827,.T.); +#388=FACE_OUTER_BOUND('',#828,.T.); +#389=FACE_OUTER_BOUND('',#829,.T.); +#390=FACE_OUTER_BOUND('',#830,.T.); +#391=FACE_OUTER_BOUND('',#831,.T.); +#392=FACE_OUTER_BOUND('',#832,.T.); +#393=FACE_OUTER_BOUND('',#833,.T.); +#394=FACE_OUTER_BOUND('',#834,.T.); +#395=FACE_OUTER_BOUND('',#835,.T.); +#396=FACE_OUTER_BOUND('',#836,.T.); +#397=FACE_OUTER_BOUND('',#837,.T.); +#398=FACE_OUTER_BOUND('',#838,.T.); +#399=FACE_OUTER_BOUND('',#839,.T.); +#400=FACE_OUTER_BOUND('',#840,.T.); +#401=FACE_OUTER_BOUND('',#841,.T.); +#402=FACE_OUTER_BOUND('',#842,.T.); +#403=FACE_OUTER_BOUND('',#843,.T.); +#404=FACE_OUTER_BOUND('',#844,.T.); +#405=FACE_OUTER_BOUND('',#845,.T.); +#406=FACE_OUTER_BOUND('',#846,.T.); +#407=FACE_OUTER_BOUND('',#847,.T.); +#408=FACE_OUTER_BOUND('',#848,.T.); +#409=FACE_OUTER_BOUND('',#849,.T.); +#410=FACE_OUTER_BOUND('',#850,.T.); +#411=FACE_OUTER_BOUND('',#851,.T.); +#412=FACE_OUTER_BOUND('',#852,.T.); +#413=FACE_OUTER_BOUND('',#853,.T.); +#414=FACE_OUTER_BOUND('',#854,.T.); +#415=FACE_OUTER_BOUND('',#855,.T.); +#416=FACE_OUTER_BOUND('',#856,.T.); +#417=FACE_OUTER_BOUND('',#857,.T.); +#418=FACE_OUTER_BOUND('',#858,.T.); +#419=FACE_OUTER_BOUND('',#859,.T.); +#420=FACE_OUTER_BOUND('',#860,.T.); +#421=FACE_OUTER_BOUND('',#861,.T.); +#422=FACE_OUTER_BOUND('',#862,.T.); +#423=FACE_OUTER_BOUND('',#863,.T.); +#424=FACE_OUTER_BOUND('',#864,.T.); +#425=FACE_OUTER_BOUND('',#865,.T.); +#426=FACE_OUTER_BOUND('',#866,.T.); +#427=FACE_OUTER_BOUND('',#867,.T.); +#428=FACE_OUTER_BOUND('',#868,.T.); +#429=FACE_OUTER_BOUND('',#869,.T.); +#430=FACE_OUTER_BOUND('',#870,.T.); +#431=FACE_OUTER_BOUND('',#871,.T.); +#432=FACE_OUTER_BOUND('',#872,.T.); +#433=FACE_OUTER_BOUND('',#873,.T.); +#434=FACE_OUTER_BOUND('',#874,.T.); +#435=FACE_OUTER_BOUND('',#875,.T.); +#436=FACE_OUTER_BOUND('',#876,.T.); +#437=FACE_OUTER_BOUND('',#877,.T.); +#438=FACE_OUTER_BOUND('',#878,.T.); +#439=FACE_OUTER_BOUND('',#879,.T.); +#440=FACE_OUTER_BOUND('',#880,.T.); +#441=FACE_OUTER_BOUND('',#881,.T.); +#442=FACE_OUTER_BOUND('',#882,.T.); +#443=FACE_OUTER_BOUND('',#883,.T.); +#444=FACE_OUTER_BOUND('',#884,.T.); +#445=FACE_OUTER_BOUND('',#885,.T.); +#446=FACE_OUTER_BOUND('',#886,.T.); +#447=FACE_OUTER_BOUND('',#887,.T.); +#448=FACE_OUTER_BOUND('',#888,.T.); +#449=FACE_OUTER_BOUND('',#889,.T.); +#450=FACE_OUTER_BOUND('',#905,.T.); +#451=FACE_OUTER_BOUND('',#906,.T.); +#452=FACE_OUTER_BOUND('',#907,.T.); +#453=FACE_OUTER_BOUND('',#908,.T.); +#454=FACE_OUTER_BOUND('',#909,.T.); +#455=FACE_OUTER_BOUND('',#910,.T.); +#456=FACE_OUTER_BOUND('',#911,.T.); +#457=FACE_OUTER_BOUND('',#912,.T.); +#458=FACE_OUTER_BOUND('',#913,.T.); +#459=FACE_OUTER_BOUND('',#914,.T.); +#460=FACE_OUTER_BOUND('',#915,.T.); +#461=FACE_OUTER_BOUND('',#916,.T.); +#462=FACE_OUTER_BOUND('',#917,.T.); +#463=FACE_OUTER_BOUND('',#918,.T.); +#464=FACE_OUTER_BOUND('',#919,.T.); +#465=FACE_OUTER_BOUND('',#920,.T.); +#466=FACE_OUTER_BOUND('',#921,.T.); +#467=FACE_OUTER_BOUND('',#922,.T.); +#468=FACE_OUTER_BOUND('',#923,.T.); +#469=FACE_OUTER_BOUND('',#924,.T.); +#470=FACE_OUTER_BOUND('',#925,.T.); +#471=FACE_OUTER_BOUND('',#926,.T.); +#472=FACE_OUTER_BOUND('',#927,.T.); +#473=FACE_OUTER_BOUND('',#928,.T.); +#474=FACE_OUTER_BOUND('',#929,.T.); +#475=FACE_OUTER_BOUND('',#930,.T.); +#476=FACE_OUTER_BOUND('',#931,.T.); +#477=FACE_OUTER_BOUND('',#932,.T.); +#478=FACE_OUTER_BOUND('',#933,.T.); +#479=FACE_OUTER_BOUND('',#934,.T.); +#480=FACE_OUTER_BOUND('',#935,.T.); +#481=FACE_OUTER_BOUND('',#936,.T.); +#482=FACE_OUTER_BOUND('',#937,.T.); +#483=FACE_OUTER_BOUND('',#938,.T.); +#484=FACE_OUTER_BOUND('',#939,.T.); +#485=FACE_OUTER_BOUND('',#940,.T.); +#486=FACE_OUTER_BOUND('',#941,.T.); +#487=FACE_OUTER_BOUND('',#942,.T.); +#488=FACE_OUTER_BOUND('',#943,.T.); +#489=FACE_OUTER_BOUND('',#944,.T.); +#490=FACE_OUTER_BOUND('',#945,.T.); +#491=FACE_OUTER_BOUND('',#946,.T.); +#492=FACE_OUTER_BOUND('',#947,.T.); +#493=FACE_OUTER_BOUND('',#948,.T.); +#494=FACE_OUTER_BOUND('',#949,.T.); +#495=FACE_OUTER_BOUND('',#950,.T.); +#496=FACE_OUTER_BOUND('',#951,.T.); +#497=FACE_OUTER_BOUND('',#952,.T.); +#498=FACE_OUTER_BOUND('',#953,.T.); +#499=FACE_OUTER_BOUND('',#954,.T.); +#500=FACE_OUTER_BOUND('',#955,.T.); +#501=FACE_OUTER_BOUND('',#956,.T.); +#502=FACE_OUTER_BOUND('',#957,.T.); +#503=FACE_OUTER_BOUND('',#958,.T.); +#504=FACE_OUTER_BOUND('',#959,.T.); +#505=FACE_OUTER_BOUND('',#960,.T.); +#506=FACE_OUTER_BOUND('',#961,.T.); +#507=FACE_OUTER_BOUND('',#962,.T.); +#508=FACE_OUTER_BOUND('',#963,.T.); +#509=FACE_OUTER_BOUND('',#964,.T.); +#510=FACE_OUTER_BOUND('',#965,.T.); +#511=FACE_OUTER_BOUND('',#966,.T.); +#512=FACE_OUTER_BOUND('',#967,.T.); +#513=FACE_OUTER_BOUND('',#968,.T.); +#514=FACE_OUTER_BOUND('',#969,.T.); +#515=FACE_OUTER_BOUND('',#970,.T.); +#516=FACE_OUTER_BOUND('',#971,.T.); +#517=FACE_OUTER_BOUND('',#972,.T.); +#518=FACE_OUTER_BOUND('',#973,.T.); +#519=FACE_OUTER_BOUND('',#974,.T.); +#520=FACE_OUTER_BOUND('',#975,.T.); +#521=FACE_OUTER_BOUND('',#976,.T.); +#522=FACE_OUTER_BOUND('',#977,.T.); +#523=FACE_OUTER_BOUND('',#978,.T.); +#524=FACE_OUTER_BOUND('',#979,.T.); +#525=FACE_OUTER_BOUND('',#980,.T.); +#526=FACE_OUTER_BOUND('',#981,.T.); +#527=FACE_OUTER_BOUND('',#982,.T.); +#528=FACE_OUTER_BOUND('',#983,.T.); +#529=FACE_OUTER_BOUND('',#984,.T.); +#530=FACE_OUTER_BOUND('',#985,.T.); +#531=FACE_OUTER_BOUND('',#986,.T.); +#532=FACE_OUTER_BOUND('',#987,.T.); +#533=FACE_OUTER_BOUND('',#988,.T.); +#534=FACE_OUTER_BOUND('',#989,.T.); +#535=FACE_OUTER_BOUND('',#990,.T.); +#536=FACE_OUTER_BOUND('',#991,.T.); +#537=FACE_OUTER_BOUND('',#992,.T.); +#538=FACE_OUTER_BOUND('',#993,.T.); +#539=FACE_OUTER_BOUND('',#994,.T.); +#540=FACE_OUTER_BOUND('',#995,.T.); +#541=FACE_OUTER_BOUND('',#996,.T.); +#542=FACE_OUTER_BOUND('',#997,.T.); +#543=FACE_OUTER_BOUND('',#998,.T.); +#544=FACE_OUTER_BOUND('',#999,.T.); +#545=FACE_OUTER_BOUND('',#1000,.T.); +#546=FACE_OUTER_BOUND('',#1001,.T.); +#547=FACE_OUTER_BOUND('',#1002,.T.); +#548=FACE_OUTER_BOUND('',#1003,.T.); +#549=FACE_OUTER_BOUND('',#1004,.T.); +#550=FACE_OUTER_BOUND('',#1005,.T.); +#551=FACE_OUTER_BOUND('',#1006,.T.); +#552=FACE_OUTER_BOUND('',#1007,.T.); +#553=FACE_OUTER_BOUND('',#1008,.T.); +#554=FACE_OUTER_BOUND('',#1009,.T.); +#555=FACE_OUTER_BOUND('',#1010,.T.); +#556=FACE_OUTER_BOUND('',#1011,.T.); +#557=FACE_OUTER_BOUND('',#1012,.T.); +#558=FACE_OUTER_BOUND('',#1013,.T.); +#559=FACE_OUTER_BOUND('',#1014,.T.); +#560=FACE_OUTER_BOUND('',#1015,.T.); +#561=FACE_OUTER_BOUND('',#1016,.T.); +#562=FACE_OUTER_BOUND('',#1017,.T.); +#563=FACE_OUTER_BOUND('',#1018,.T.); +#564=FACE_OUTER_BOUND('',#1019,.T.); +#565=FACE_OUTER_BOUND('',#1020,.T.); +#566=FACE_OUTER_BOUND('',#1021,.T.); +#567=FACE_OUTER_BOUND('',#1022,.T.); +#568=FACE_OUTER_BOUND('',#1023,.T.); +#569=FACE_OUTER_BOUND('',#1024,.T.); +#570=FACE_OUTER_BOUND('',#1025,.T.); +#571=FACE_OUTER_BOUND('',#1026,.T.); +#572=FACE_OUTER_BOUND('',#1027,.T.); +#573=FACE_OUTER_BOUND('',#1028,.T.); +#574=FACE_OUTER_BOUND('',#1029,.T.); +#575=FACE_OUTER_BOUND('',#1030,.T.); +#576=FACE_OUTER_BOUND('',#1031,.T.); +#577=FACE_OUTER_BOUND('',#1032,.T.); +#578=FACE_OUTER_BOUND('',#1033,.T.); +#579=FACE_OUTER_BOUND('',#1034,.T.); +#580=FACE_OUTER_BOUND('',#1035,.T.); +#581=FACE_OUTER_BOUND('',#1036,.T.); +#582=FACE_OUTER_BOUND('',#1037,.T.); +#583=FACE_OUTER_BOUND('',#1038,.T.); +#584=FACE_OUTER_BOUND('',#1039,.T.); +#585=FACE_OUTER_BOUND('',#1040,.T.); +#586=FACE_OUTER_BOUND('',#1041,.T.); +#587=FACE_OUTER_BOUND('',#1042,.T.); +#588=FACE_OUTER_BOUND('',#1043,.T.); +#589=FACE_OUTER_BOUND('',#1044,.T.); +#590=FACE_OUTER_BOUND('',#1045,.T.); +#591=FACE_OUTER_BOUND('',#1046,.T.); +#592=FACE_OUTER_BOUND('',#1047,.T.); +#593=FACE_OUTER_BOUND('',#1048,.T.); +#594=FACE_OUTER_BOUND('',#1049,.T.); +#595=FACE_OUTER_BOUND('',#1050,.T.); +#596=FACE_OUTER_BOUND('',#1051,.T.); +#597=FACE_OUTER_BOUND('',#1052,.T.); +#598=FACE_OUTER_BOUND('',#1053,.T.); +#599=FACE_OUTER_BOUND('',#1054,.T.); +#600=FACE_OUTER_BOUND('',#1055,.T.); +#601=FACE_OUTER_BOUND('',#1056,.T.); +#602=FACE_OUTER_BOUND('',#1057,.T.); +#603=FACE_OUTER_BOUND('',#1058,.T.); +#604=FACE_OUTER_BOUND('',#1059,.T.); +#605=FACE_OUTER_BOUND('',#1060,.T.); +#606=FACE_OUTER_BOUND('',#1061,.T.); +#607=FACE_OUTER_BOUND('',#1062,.T.); +#608=FACE_OUTER_BOUND('',#1063,.T.); +#609=FACE_OUTER_BOUND('',#1064,.T.); +#610=FACE_OUTER_BOUND('',#1065,.T.); +#611=FACE_OUTER_BOUND('',#1066,.T.); +#612=FACE_OUTER_BOUND('',#1067,.T.); +#613=FACE_OUTER_BOUND('',#1068,.T.); +#614=FACE_OUTER_BOUND('',#1069,.T.); +#615=FACE_OUTER_BOUND('',#1070,.T.); +#616=FACE_OUTER_BOUND('',#1071,.T.); +#617=FACE_OUTER_BOUND('',#1072,.T.); +#618=FACE_OUTER_BOUND('',#1073,.T.); +#619=FACE_OUTER_BOUND('',#1074,.T.); +#620=FACE_OUTER_BOUND('',#1075,.T.); +#621=FACE_OUTER_BOUND('',#1076,.T.); +#622=FACE_OUTER_BOUND('',#1077,.T.); +#623=FACE_OUTER_BOUND('',#1078,.T.); +#624=FACE_OUTER_BOUND('',#1079,.T.); +#625=FACE_OUTER_BOUND('',#1080,.T.); +#626=FACE_OUTER_BOUND('',#1081,.T.); +#627=FACE_OUTER_BOUND('',#1082,.T.); +#628=FACE_OUTER_BOUND('',#1083,.T.); +#629=FACE_OUTER_BOUND('',#1084,.T.); +#630=FACE_OUTER_BOUND('',#1085,.T.); +#631=FACE_OUTER_BOUND('',#1086,.T.); +#632=FACE_OUTER_BOUND('',#1087,.T.); +#633=FACE_OUTER_BOUND('',#1088,.T.); +#634=FACE_OUTER_BOUND('',#1089,.T.); +#635=FACE_OUTER_BOUND('',#1090,.T.); +#636=FACE_OUTER_BOUND('',#1091,.T.); +#637=FACE_OUTER_BOUND('',#1092,.T.); +#638=FACE_OUTER_BOUND('',#1093,.T.); +#639=FACE_OUTER_BOUND('',#1094,.T.); +#640=FACE_OUTER_BOUND('',#1095,.T.); +#641=FACE_OUTER_BOUND('',#1096,.T.); +#642=FACE_OUTER_BOUND('',#1097,.T.); +#643=FACE_OUTER_BOUND('',#1098,.T.); +#644=FACE_OUTER_BOUND('',#1099,.T.); +#645=FACE_OUTER_BOUND('',#1100,.T.); +#646=FACE_OUTER_BOUND('',#1101,.T.); +#647=FACE_OUTER_BOUND('',#1102,.T.); +#648=FACE_OUTER_BOUND('',#1103,.T.); +#649=FACE_OUTER_BOUND('',#1106,.T.); +#650=FACE_OUTER_BOUND('',#1107,.T.); +#651=FACE_OUTER_BOUND('',#1108,.T.); +#652=FACE_OUTER_BOUND('',#1109,.T.); +#653=FACE_OUTER_BOUND('',#1110,.T.); +#654=FACE_OUTER_BOUND('',#1111,.T.); +#655=FACE_OUTER_BOUND('',#1112,.T.); +#656=FACE_OUTER_BOUND('',#1113,.T.); +#657=FACE_OUTER_BOUND('',#1114,.T.); +#658=FACE_OUTER_BOUND('',#1115,.T.); +#659=FACE_OUTER_BOUND('',#1116,.T.); +#660=FACE_OUTER_BOUND('',#1117,.T.); +#661=FACE_OUTER_BOUND('',#1118,.T.); +#662=FACE_OUTER_BOUND('',#1119,.T.); +#663=FACE_OUTER_BOUND('',#1120,.T.); +#664=FACE_OUTER_BOUND('',#1121,.T.); +#665=FACE_OUTER_BOUND('',#1122,.T.); +#666=FACE_OUTER_BOUND('',#1123,.T.); +#667=FACE_OUTER_BOUND('',#1124,.T.); +#668=FACE_OUTER_BOUND('',#1125,.T.); +#669=FACE_OUTER_BOUND('',#1126,.T.); +#670=FACE_OUTER_BOUND('',#1127,.T.); +#671=FACE_OUTER_BOUND('',#1128,.T.); +#672=FACE_OUTER_BOUND('',#1129,.T.); +#673=FACE_OUTER_BOUND('',#1130,.T.); +#674=FACE_OUTER_BOUND('',#1131,.T.); +#675=FACE_OUTER_BOUND('',#1132,.T.); +#676=FACE_OUTER_BOUND('',#1133,.T.); +#677=FACE_OUTER_BOUND('',#1134,.T.); +#678=FACE_OUTER_BOUND('',#1135,.T.); +#679=FACE_OUTER_BOUND('',#1136,.T.); +#680=FACE_OUTER_BOUND('',#1137,.T.); +#681=FACE_OUTER_BOUND('',#1138,.T.); +#682=FACE_OUTER_BOUND('',#1139,.T.); +#683=FACE_OUTER_BOUND('',#1140,.T.); +#684=FACE_OUTER_BOUND('',#1141,.T.); +#685=FACE_OUTER_BOUND('',#1142,.T.); +#686=FACE_OUTER_BOUND('',#1143,.T.); +#687=FACE_OUTER_BOUND('',#1144,.T.); +#688=FACE_OUTER_BOUND('',#1145,.T.); +#689=FACE_OUTER_BOUND('',#1146,.T.); +#690=FACE_OUTER_BOUND('',#1147,.T.); +#691=FACE_OUTER_BOUND('',#1148,.T.); +#692=FACE_OUTER_BOUND('',#1149,.T.); +#693=FACE_OUTER_BOUND('',#1150,.T.); +#694=FACE_OUTER_BOUND('',#1151,.T.); +#695=FACE_OUTER_BOUND('',#1152,.T.); +#696=FACE_OUTER_BOUND('',#1153,.T.); +#697=FACE_OUTER_BOUND('',#1154,.T.); +#698=FACE_OUTER_BOUND('',#1155,.T.); +#699=FACE_OUTER_BOUND('',#1156,.T.); +#700=FACE_OUTER_BOUND('',#1157,.T.); +#701=FACE_OUTER_BOUND('',#1158,.T.); +#702=FACE_OUTER_BOUND('',#1159,.T.); +#703=FACE_OUTER_BOUND('',#1160,.T.); +#704=FACE_OUTER_BOUND('',#1161,.T.); +#705=FACE_OUTER_BOUND('',#1162,.T.); +#706=FACE_OUTER_BOUND('',#1163,.T.); +#707=FACE_OUTER_BOUND('',#1164,.T.); +#708=FACE_OUTER_BOUND('',#1165,.T.); +#709=FACE_OUTER_BOUND('',#1166,.T.); +#710=FACE_OUTER_BOUND('',#1167,.T.); +#711=FACE_OUTER_BOUND('',#1168,.T.); +#712=FACE_OUTER_BOUND('',#1169,.T.); +#713=FACE_OUTER_BOUND('',#1170,.T.); +#714=FACE_OUTER_BOUND('',#1171,.T.); +#715=FACE_OUTER_BOUND('',#1172,.T.); +#716=FACE_OUTER_BOUND('',#1173,.T.); +#717=FACE_OUTER_BOUND('',#1181,.T.); +#718=FACE_OUTER_BOUND('',#1184,.T.); +#719=FACE_OUTER_BOUND('',#1185,.T.); +#720=FACE_OUTER_BOUND('',#1186,.T.); +#721=FACE_OUTER_BOUND('',#1187,.T.); +#722=FACE_OUTER_BOUND('',#1188,.T.); +#723=FACE_OUTER_BOUND('',#1189,.T.); +#724=FACE_OUTER_BOUND('',#1190,.T.); +#725=FACE_OUTER_BOUND('',#1191,.T.); +#726=FACE_OUTER_BOUND('',#1192,.T.); +#727=FACE_OUTER_BOUND('',#1193,.T.); +#728=FACE_OUTER_BOUND('',#1194,.T.); +#729=FACE_OUTER_BOUND('',#1195,.T.); +#730=FACE_OUTER_BOUND('',#1196,.T.); +#731=FACE_OUTER_BOUND('',#1197,.T.); +#732=FACE_OUTER_BOUND('',#1198,.T.); +#733=FACE_OUTER_BOUND('',#1199,.T.); +#734=FACE_OUTER_BOUND('',#1200,.T.); +#735=FACE_OUTER_BOUND('',#1201,.T.); +#736=FACE_OUTER_BOUND('',#1202,.T.); +#737=FACE_OUTER_BOUND('',#1203,.T.); +#738=FACE_OUTER_BOUND('',#1204,.T.); +#739=FACE_OUTER_BOUND('',#1205,.T.); +#740=FACE_OUTER_BOUND('',#1206,.T.); +#741=FACE_OUTER_BOUND('',#1207,.T.); +#742=FACE_OUTER_BOUND('',#1208,.T.); +#743=FACE_OUTER_BOUND('',#1209,.T.); +#744=FACE_OUTER_BOUND('',#1210,.T.); +#745=FACE_OUTER_BOUND('',#1211,.T.); +#746=FACE_OUTER_BOUND('',#1212,.T.); +#747=FACE_OUTER_BOUND('',#1213,.T.); +#748=FACE_OUTER_BOUND('',#1216,.T.); +#749=FACE_OUTER_BOUND('',#1217,.T.); +#750=FACE_OUTER_BOUND('',#1218,.T.); +#751=FACE_OUTER_BOUND('',#1219,.T.); +#752=FACE_OUTER_BOUND('',#1220,.T.); +#753=FACE_OUTER_BOUND('',#1221,.T.); +#754=FACE_OUTER_BOUND('',#1222,.T.); +#755=FACE_OUTER_BOUND('',#1223,.T.); +#756=FACE_OUTER_BOUND('',#1224,.T.); +#757=FACE_OUTER_BOUND('',#1226,.T.); +#758=FACE_OUTER_BOUND('',#1227,.T.); +#759=FACE_OUTER_BOUND('',#1228,.T.); +#760=FACE_OUTER_BOUND('',#1229,.T.); +#761=FACE_OUTER_BOUND('',#1230,.T.); +#762=FACE_OUTER_BOUND('',#1231,.T.); +#763=FACE_OUTER_BOUND('',#1232,.T.); +#764=FACE_OUTER_BOUND('',#1233,.T.); +#765=FACE_OUTER_BOUND('',#1234,.T.); +#766=FACE_OUTER_BOUND('',#1235,.T.); +#767=FACE_OUTER_BOUND('',#1236,.T.); +#768=FACE_OUTER_BOUND('',#1237,.T.); +#769=FACE_OUTER_BOUND('',#1238,.T.); +#770=FACE_OUTER_BOUND('',#1239,.T.); +#771=EDGE_LOOP('',(#4787,#4788,#4789)); +#772=EDGE_LOOP('',(#4790,#4791,#4792,#4793)); +#773=EDGE_LOOP('',(#4794,#4795,#4796)); +#774=EDGE_LOOP('',(#4797,#4798,#4799)); +#775=EDGE_LOOP('',(#4800,#4801,#4802)); +#776=EDGE_LOOP('',(#4803,#4804,#4805)); +#777=EDGE_LOOP('',(#4806,#4807)); +#778=EDGE_LOOP('',(#4808,#4809,#4810)); +#779=EDGE_LOOP('',(#4811,#4812,#4813,#4814)); +#780=EDGE_LOOP('',(#4815,#4816,#4817,#4818)); +#781=EDGE_LOOP('',(#4819,#4820,#4821,#4822)); +#782=EDGE_LOOP('',(#4823,#4824,#4825,#4826)); +#783=EDGE_LOOP('',(#4827,#4828,#4829,#4830)); +#784=EDGE_LOOP('',(#4831,#4832,#4833,#4834)); +#785=EDGE_LOOP('',(#4835,#4836,#4837,#4838)); +#786=EDGE_LOOP('',(#4839,#4840,#4841,#4842)); +#787=EDGE_LOOP('',(#4843,#4844,#4845,#4846,#4847,#4848,#4849,#4850)); +#788=EDGE_LOOP('',(#4851,#4852,#4853,#4854)); +#789=EDGE_LOOP('',(#4855,#4856,#4857,#4858)); +#790=EDGE_LOOP('',(#4859,#4860,#4861,#4862)); +#791=EDGE_LOOP('',(#4863,#4864,#4865,#4866)); +#792=EDGE_LOOP('',(#4867,#4868,#4869,#4870)); +#793=EDGE_LOOP('',(#4871,#4872,#4873,#4874)); +#794=EDGE_LOOP('',(#4875,#4876,#4877,#4878)); +#795=EDGE_LOOP('',(#4879,#4880,#4881,#4882)); +#796=EDGE_LOOP('',(#4883,#4884,#4885,#4886)); +#797=EDGE_LOOP('',(#4887,#4888,#4889,#4890)); +#798=EDGE_LOOP('',(#4891,#4892,#4893,#4894)); +#799=EDGE_LOOP('',(#4895,#4896,#4897,#4898)); +#800=EDGE_LOOP('',(#4899,#4900,#4901,#4902)); +#801=EDGE_LOOP('',(#4903,#4904,#4905,#4906)); +#802=EDGE_LOOP('',(#4907,#4908,#4909,#4910)); +#803=EDGE_LOOP('',(#4911,#4912,#4913,#4914)); +#804=EDGE_LOOP('',(#4915,#4916,#4917,#4918)); +#805=EDGE_LOOP('',(#4919,#4920,#4921,#4922)); +#806=EDGE_LOOP('',(#4923,#4924,#4925,#4926)); +#807=EDGE_LOOP('',(#4927,#4928,#4929,#4930)); +#808=EDGE_LOOP('',(#4931,#4932,#4933,#4934)); +#809=EDGE_LOOP('',(#4935,#4936,#4937,#4938)); +#810=EDGE_LOOP('',(#4939,#4940,#4941,#4942)); +#811=EDGE_LOOP('',(#4943,#4944,#4945,#4946)); +#812=EDGE_LOOP('',(#4947,#4948,#4949,#4950)); +#813=EDGE_LOOP('',(#4951,#4952,#4953,#4954)); +#814=EDGE_LOOP('',(#4955,#4956,#4957,#4958)); +#815=EDGE_LOOP('',(#4959,#4960,#4961,#4962)); +#816=EDGE_LOOP('',(#4963,#4964,#4965,#4966)); +#817=EDGE_LOOP('',(#4967,#4968,#4969,#4970)); +#818=EDGE_LOOP('',(#4971,#4972,#4973,#4974)); +#819=EDGE_LOOP('',(#4975,#4976,#4977,#4978)); +#820=EDGE_LOOP('',(#4979,#4980,#4981,#4982,#4983,#4984,#4985,#4986,#4987, +#4988,#4989,#4990,#4991,#4992,#4993,#4994,#4995,#4996,#4997,#4998,#4999, +#5000,#5001,#5002,#5003,#5004,#5005,#5006,#5007,#5008,#5009,#5010,#5011, +#5012,#5013,#5014,#5015,#5016)); +#821=EDGE_LOOP('',(#5017,#5018,#5019,#5020,#5021,#5022,#5023,#5024)); +#822=EDGE_LOOP('',(#5025,#5026,#5027,#5028)); +#823=EDGE_LOOP('',(#5029,#5030,#5031,#5032,#5033,#5034,#5035,#5036,#5037, +#5038,#5039,#5040,#5041,#5042,#5043,#5044,#5045,#5046,#5047,#5048,#5049, +#5050,#5051,#5052,#5053,#5054,#5055,#5056,#5057,#5058)); +#824=EDGE_LOOP('',(#5059,#5060,#5061,#5062)); +#825=EDGE_LOOP('',(#5063,#5064,#5065,#5066,#5067,#5068,#5069,#5070,#5071, +#5072,#5073,#5074,#5075,#5076,#5077,#5078,#5079,#5080,#5081,#5082,#5083, +#5084,#5085,#5086,#5087,#5088,#5089,#5090,#5091,#5092,#5093,#5094,#5095, +#5096,#5097,#5098)); +#826=EDGE_LOOP('',(#5099,#5100,#5101)); +#827=EDGE_LOOP('',(#5102,#5103,#5104)); +#828=EDGE_LOOP('',(#5105,#5106,#5107)); +#829=EDGE_LOOP('',(#5108,#5109,#5110)); +#830=EDGE_LOOP('',(#5111,#5112,#5113,#5114)); +#831=EDGE_LOOP('',(#5115,#5116,#5117)); +#832=EDGE_LOOP('',(#5118,#5119,#5120,#5121,#5122,#5123,#5124,#5125,#5126, +#5127,#5128,#5129)); +#833=EDGE_LOOP('',(#5130,#5131,#5132,#5133,#5134,#5135,#5136)); +#834=EDGE_LOOP('',(#5137,#5138,#5139,#5140,#5141,#5142,#5143,#5144)); +#835=EDGE_LOOP('',(#5145,#5146,#5147,#5148,#5149,#5150,#5151,#5152)); +#836=EDGE_LOOP('',(#5153,#5154,#5155,#5156,#5157,#5158)); +#837=EDGE_LOOP('',(#5159,#5160,#5161,#5162,#5163,#5164)); +#838=EDGE_LOOP('',(#5165,#5166,#5167,#5168,#5169,#5170,#5171,#5172)); +#839=EDGE_LOOP('',(#5173,#5174,#5175,#5176,#5177,#5178,#5179,#5180)); +#840=EDGE_LOOP('',(#5181,#5182,#5183,#5184,#5185,#5186,#5187)); +#841=EDGE_LOOP('',(#5188,#5189,#5190,#5191,#5192,#5193,#5194,#5195,#5196, +#5197,#5198,#5199)); +#842=EDGE_LOOP('',(#5200,#5201,#5202,#5203,#5204,#5205,#5206)); +#843=EDGE_LOOP('',(#5207,#5208,#5209,#5210,#5211,#5212)); +#844=EDGE_LOOP('',(#5213,#5214,#5215,#5216,#5217,#5218,#5219)); +#845=EDGE_LOOP('',(#5220,#5221,#5222,#5223,#5224,#5225)); +#846=EDGE_LOOP('',(#5226,#5227,#5228,#5229,#5230,#5231)); +#847=EDGE_LOOP('',(#5232,#5233,#5234,#5235,#5236,#5237,#5238)); +#848=EDGE_LOOP('',(#5239,#5240,#5241,#5242)); +#849=EDGE_LOOP('',(#5243,#5244,#5245,#5246)); +#850=EDGE_LOOP('',(#5247,#5248,#5249,#5250)); +#851=EDGE_LOOP('',(#5251,#5252,#5253,#5254,#5255)); +#852=EDGE_LOOP('',(#5256,#5257,#5258,#5259,#5260)); +#853=EDGE_LOOP('',(#5261,#5262,#5263,#5264)); +#854=EDGE_LOOP('',(#5265,#5266,#5267,#5268)); +#855=EDGE_LOOP('',(#5269,#5270,#5271,#5272)); +#856=EDGE_LOOP('',(#5273,#5274,#5275,#5276)); +#857=EDGE_LOOP('',(#5277,#5278,#5279,#5280,#5281)); +#858=EDGE_LOOP('',(#5282,#5283,#5284,#5285,#5286)); +#859=EDGE_LOOP('',(#5287,#5288,#5289,#5290)); +#860=EDGE_LOOP('',(#5291,#5292,#5293,#5294)); +#861=EDGE_LOOP('',(#5295,#5296,#5297,#5298)); +#862=EDGE_LOOP('',(#5299,#5300,#5301,#5302)); +#863=EDGE_LOOP('',(#5303,#5304,#5305,#5306,#5307)); +#864=EDGE_LOOP('',(#5308,#5309,#5310,#5311,#5312)); +#865=EDGE_LOOP('',(#5313,#5314,#5315,#5316)); +#866=EDGE_LOOP('',(#5317,#5318,#5319,#5320)); +#867=EDGE_LOOP('',(#5321,#5322,#5323,#5324,#5325)); +#868=EDGE_LOOP('',(#5326,#5327,#5328,#5329,#5330)); +#869=EDGE_LOOP('',(#5331,#5332,#5333,#5334,#5335)); +#870=EDGE_LOOP('',(#5336,#5337,#5338,#5339)); +#871=EDGE_LOOP('',(#5340,#5341,#5342,#5343)); +#872=EDGE_LOOP('',(#5344,#5345,#5346,#5347)); +#873=EDGE_LOOP('',(#5348,#5349,#5350,#5351)); +#874=EDGE_LOOP('',(#5352,#5353,#5354,#5355)); +#875=EDGE_LOOP('',(#5356,#5357,#5358,#5359)); +#876=EDGE_LOOP('',(#5360,#5361,#5362,#5363)); +#877=EDGE_LOOP('',(#5364,#5365,#5366,#5367)); +#878=EDGE_LOOP('',(#5368,#5369,#5370,#5371)); +#879=EDGE_LOOP('',(#5372,#5373,#5374,#5375)); +#880=EDGE_LOOP('',(#5376,#5377,#5378,#5379)); +#881=EDGE_LOOP('',(#5380,#5381,#5382,#5383)); +#882=EDGE_LOOP('',(#5384,#5385,#5386,#5387)); +#883=EDGE_LOOP('',(#5388,#5389,#5390,#5391)); +#884=EDGE_LOOP('',(#5392,#5393,#5394,#5395)); +#885=EDGE_LOOP('',(#5396,#5397,#5398,#5399)); +#886=EDGE_LOOP('',(#5400,#5401,#5402,#5403)); +#887=EDGE_LOOP('',(#5404,#5405,#5406,#5407)); +#888=EDGE_LOOP('',(#5408,#5409,#5410,#5411)); +#889=EDGE_LOOP('',(#5412,#5413,#5414,#5415,#5416,#5417,#5418,#5419,#5420, +#5421,#5422,#5423,#5424,#5425,#5426,#5427,#5428,#5429,#5430,#5431,#5432, +#5433,#5434,#5435,#5436,#5437,#5438,#5439)); +#890=EDGE_LOOP('',(#5440,#5441)); +#891=EDGE_LOOP('',(#5442,#5443)); +#892=EDGE_LOOP('',(#5444,#5445)); +#893=EDGE_LOOP('',(#5446,#5447,#5448,#5449)); +#894=EDGE_LOOP('',(#5450,#5451,#5452,#5453)); +#895=EDGE_LOOP('',(#5454,#5455)); +#896=EDGE_LOOP('',(#5456,#5457)); +#897=EDGE_LOOP('',(#5458,#5459)); +#898=EDGE_LOOP('',(#5460,#5461)); +#899=EDGE_LOOP('',(#5462,#5463,#5464)); +#900=EDGE_LOOP('',(#5465,#5466)); +#901=EDGE_LOOP('',(#5467,#5468,#5469,#5470,#5471,#5472)); +#902=EDGE_LOOP('',(#5473,#5474)); +#903=EDGE_LOOP('',(#5475,#5476,#5477,#5478,#5479,#5480,#5481,#5482)); +#904=EDGE_LOOP('',(#5483,#5484)); +#905=EDGE_LOOP('',(#5485,#5486,#5487,#5488)); +#906=EDGE_LOOP('',(#5489,#5490,#5491,#5492)); +#907=EDGE_LOOP('',(#5493,#5494,#5495,#5496)); +#908=EDGE_LOOP('',(#5497,#5498,#5499,#5500)); +#909=EDGE_LOOP('',(#5501,#5502,#5503,#5504)); +#910=EDGE_LOOP('',(#5505,#5506,#5507,#5508)); +#911=EDGE_LOOP('',(#5509,#5510,#5511,#5512)); +#912=EDGE_LOOP('',(#5513,#5514,#5515,#5516)); +#913=EDGE_LOOP('',(#5517,#5518,#5519,#5520)); +#914=EDGE_LOOP('',(#5521,#5522,#5523,#5524)); +#915=EDGE_LOOP('',(#5525,#5526,#5527,#5528)); +#916=EDGE_LOOP('',(#5529,#5530,#5531,#5532)); +#917=EDGE_LOOP('',(#5533,#5534,#5535,#5536)); +#918=EDGE_LOOP('',(#5537,#5538,#5539,#5540)); +#919=EDGE_LOOP('',(#5541,#5542)); +#920=EDGE_LOOP('',(#5543,#5544,#5545)); +#921=EDGE_LOOP('',(#5546,#5547,#5548,#5549)); +#922=EDGE_LOOP('',(#5550,#5551,#5552,#5553)); +#923=EDGE_LOOP('',(#5554,#5555,#5556,#5557)); +#924=EDGE_LOOP('',(#5558,#5559,#5560)); +#925=EDGE_LOOP('',(#5561,#5562,#5563)); +#926=EDGE_LOOP('',(#5564,#5565,#5566,#5567)); +#927=EDGE_LOOP('',(#5568,#5569,#5570,#5571)); +#928=EDGE_LOOP('',(#5572,#5573,#5574,#5575)); +#929=EDGE_LOOP('',(#5576,#5577,#5578)); +#930=EDGE_LOOP('',(#5579,#5580,#5581)); +#931=EDGE_LOOP('',(#5582,#5583,#5584,#5585)); +#932=EDGE_LOOP('',(#5586,#5587,#5588,#5589)); +#933=EDGE_LOOP('',(#5590,#5591,#5592,#5593)); +#934=EDGE_LOOP('',(#5594,#5595,#5596)); +#935=EDGE_LOOP('',(#5597,#5598,#5599)); +#936=EDGE_LOOP('',(#5600,#5601,#5602,#5603)); +#937=EDGE_LOOP('',(#5604,#5605,#5606,#5607)); +#938=EDGE_LOOP('',(#5608,#5609,#5610,#5611)); +#939=EDGE_LOOP('',(#5612,#5613,#5614)); +#940=EDGE_LOOP('',(#5615,#5616,#5617)); +#941=EDGE_LOOP('',(#5618,#5619,#5620,#5621,#5622)); +#942=EDGE_LOOP('',(#5623,#5624,#5625)); +#943=EDGE_LOOP('',(#5626,#5627)); +#944=EDGE_LOOP('',(#5628,#5629,#5630)); +#945=EDGE_LOOP('',(#5631,#5632,#5633)); +#946=EDGE_LOOP('',(#5634,#5635,#5636,#5637)); +#947=EDGE_LOOP('',(#5638,#5639,#5640,#5641)); +#948=EDGE_LOOP('',(#5642,#5643,#5644,#5645)); +#949=EDGE_LOOP('',(#5646,#5647,#5648,#5649)); +#950=EDGE_LOOP('',(#5650,#5651,#5652,#5653)); +#951=EDGE_LOOP('',(#5654,#5655,#5656,#5657)); +#952=EDGE_LOOP('',(#5658,#5659,#5660,#5661)); +#953=EDGE_LOOP('',(#5662,#5663,#5664,#5665)); +#954=EDGE_LOOP('',(#5666,#5667,#5668,#5669)); +#955=EDGE_LOOP('',(#5670,#5671,#5672,#5673)); +#956=EDGE_LOOP('',(#5674,#5675,#5676,#5677)); +#957=EDGE_LOOP('',(#5678,#5679,#5680,#5681)); +#958=EDGE_LOOP('',(#5682,#5683,#5684,#5685)); +#959=EDGE_LOOP('',(#5686,#5687,#5688,#5689)); +#960=EDGE_LOOP('',(#5690,#5691,#5692,#5693)); +#961=EDGE_LOOP('',(#5694,#5695,#5696,#5697)); +#962=EDGE_LOOP('',(#5698,#5699,#5700,#5701)); +#963=EDGE_LOOP('',(#5702,#5703,#5704,#5705)); +#964=EDGE_LOOP('',(#5706,#5707,#5708,#5709)); +#965=EDGE_LOOP('',(#5710,#5711,#5712)); +#966=EDGE_LOOP('',(#5713,#5714,#5715)); +#967=EDGE_LOOP('',(#5716,#5717,#5718)); +#968=EDGE_LOOP('',(#5719,#5720,#5721,#5722,#5723)); +#969=EDGE_LOOP('',(#5724,#5725,#5726)); +#970=EDGE_LOOP('',(#5727,#5728)); +#971=EDGE_LOOP('',(#5729,#5730,#5731)); +#972=EDGE_LOOP('',(#5732,#5733,#5734,#5735)); +#973=EDGE_LOOP('',(#5736,#5737,#5738,#5739)); +#974=EDGE_LOOP('',(#5740,#5741,#5742,#5743)); +#975=EDGE_LOOP('',(#5744,#5745,#5746)); +#976=EDGE_LOOP('',(#5747,#5748,#5749)); +#977=EDGE_LOOP('',(#5750,#5751,#5752,#5753)); +#978=EDGE_LOOP('',(#5754,#5755,#5756,#5757)); +#979=EDGE_LOOP('',(#5758,#5759,#5760,#5761)); +#980=EDGE_LOOP('',(#5762,#5763,#5764,#5765)); +#981=EDGE_LOOP('',(#5766,#5767,#5768,#5769)); +#982=EDGE_LOOP('',(#5770,#5771,#5772,#5773)); +#983=EDGE_LOOP('',(#5774,#5775,#5776,#5777)); +#984=EDGE_LOOP('',(#5778,#5779,#5780,#5781)); +#985=EDGE_LOOP('',(#5782,#5783,#5784)); +#986=EDGE_LOOP('',(#5785,#5786,#5787,#5788)); +#987=EDGE_LOOP('',(#5789,#5790,#5791)); +#988=EDGE_LOOP('',(#5792,#5793,#5794,#5795)); +#989=EDGE_LOOP('',(#5796,#5797,#5798,#5799)); +#990=EDGE_LOOP('',(#5800,#5801,#5802,#5803)); +#991=EDGE_LOOP('',(#5804,#5805,#5806)); +#992=EDGE_LOOP('',(#5807,#5808,#5809)); +#993=EDGE_LOOP('',(#5810,#5811,#5812,#5813)); +#994=EDGE_LOOP('',(#5814,#5815,#5816,#5817)); +#995=EDGE_LOOP('',(#5818,#5819,#5820,#5821)); +#996=EDGE_LOOP('',(#5822,#5823,#5824)); +#997=EDGE_LOOP('',(#5825,#5826,#5827)); +#998=EDGE_LOOP('',(#5828,#5829,#5830,#5831)); +#999=EDGE_LOOP('',(#5832,#5833,#5834,#5835)); +#1000=EDGE_LOOP('',(#5836,#5837,#5838,#5839)); +#1001=EDGE_LOOP('',(#5840,#5841,#5842)); +#1002=EDGE_LOOP('',(#5843,#5844,#5845,#5846)); +#1003=EDGE_LOOP('',(#5847,#5848,#5849,#5850)); +#1004=EDGE_LOOP('',(#5851,#5852,#5853,#5854)); +#1005=EDGE_LOOP('',(#5855,#5856,#5857,#5858)); +#1006=EDGE_LOOP('',(#5859,#5860,#5861,#5862)); +#1007=EDGE_LOOP('',(#5863,#5864,#5865,#5866)); +#1008=EDGE_LOOP('',(#5867,#5868,#5869)); +#1009=EDGE_LOOP('',(#5870,#5871,#5872,#5873)); +#1010=EDGE_LOOP('',(#5874,#5875,#5876,#5877)); +#1011=EDGE_LOOP('',(#5878,#5879,#5880,#5881)); +#1012=EDGE_LOOP('',(#5882,#5883,#5884)); +#1013=EDGE_LOOP('',(#5885,#5886,#5887)); +#1014=EDGE_LOOP('',(#5888,#5889,#5890,#5891)); +#1015=EDGE_LOOP('',(#5892,#5893)); +#1016=EDGE_LOOP('',(#5894,#5895,#5896)); +#1017=EDGE_LOOP('',(#5897,#5898,#5899)); +#1018=EDGE_LOOP('',(#5900,#5901,#5902)); +#1019=EDGE_LOOP('',(#5903,#5904,#5905,#5906)); +#1020=EDGE_LOOP('',(#5907,#5908,#5909,#5910)); +#1021=EDGE_LOOP('',(#5911,#5912,#5913,#5914)); +#1022=EDGE_LOOP('',(#5915,#5916,#5917,#5918)); +#1023=EDGE_LOOP('',(#5919,#5920,#5921,#5922)); +#1024=EDGE_LOOP('',(#5923,#5924,#5925,#5926)); +#1025=EDGE_LOOP('',(#5927,#5928,#5929,#5930)); +#1026=EDGE_LOOP('',(#5931,#5932,#5933,#5934)); +#1027=EDGE_LOOP('',(#5935,#5936,#5937,#5938)); +#1028=EDGE_LOOP('',(#5939,#5940,#5941,#5942)); +#1029=EDGE_LOOP('',(#5943,#5944,#5945,#5946)); +#1030=EDGE_LOOP('',(#5947,#5948,#5949,#5950)); +#1031=EDGE_LOOP('',(#5951,#5952,#5953,#5954)); +#1032=EDGE_LOOP('',(#5955,#5956,#5957,#5958)); +#1033=EDGE_LOOP('',(#5959,#5960,#5961,#5962)); +#1034=EDGE_LOOP('',(#5963,#5964,#5965,#5966)); +#1035=EDGE_LOOP('',(#5967,#5968,#5969,#5970)); +#1036=EDGE_LOOP('',(#5971,#5972,#5973,#5974)); +#1037=EDGE_LOOP('',(#5975,#5976,#5977)); +#1038=EDGE_LOOP('',(#5978,#5979,#5980)); +#1039=EDGE_LOOP('',(#5981,#5982,#5983)); +#1040=EDGE_LOOP('',(#5984,#5985,#5986)); +#1041=EDGE_LOOP('',(#5987,#5988)); +#1042=EDGE_LOOP('',(#5989,#5990,#5991,#5992)); +#1043=EDGE_LOOP('',(#5993,#5994,#5995)); +#1044=EDGE_LOOP('',(#5996,#5997,#5998,#5999)); +#1045=EDGE_LOOP('',(#6000,#6001,#6002,#6003)); +#1046=EDGE_LOOP('',(#6004,#6005,#6006,#6007)); +#1047=EDGE_LOOP('',(#6008,#6009,#6010)); +#1048=EDGE_LOOP('',(#6011,#6012,#6013)); +#1049=EDGE_LOOP('',(#6014,#6015,#6016,#6017)); +#1050=EDGE_LOOP('',(#6018,#6019,#6020,#6021)); +#1051=EDGE_LOOP('',(#6022,#6023,#6024,#6025)); +#1052=EDGE_LOOP('',(#6026,#6027,#6028)); +#1053=EDGE_LOOP('',(#6029,#6030,#6031,#6032)); +#1054=EDGE_LOOP('',(#6033,#6034,#6035,#6036)); +#1055=EDGE_LOOP('',(#6037,#6038,#6039,#6040)); +#1056=EDGE_LOOP('',(#6041,#6042,#6043,#6044)); +#1057=EDGE_LOOP('',(#6045,#6046,#6047,#6048)); +#1058=EDGE_LOOP('',(#6049,#6050,#6051,#6052)); +#1059=EDGE_LOOP('',(#6053,#6054,#6055,#6056,#6057)); +#1060=EDGE_LOOP('',(#6058,#6059,#6060,#6061)); +#1061=EDGE_LOOP('',(#6062,#6063,#6064,#6065)); +#1062=EDGE_LOOP('',(#6066,#6067,#6068,#6069)); +#1063=EDGE_LOOP('',(#6070,#6071,#6072,#6073)); +#1064=EDGE_LOOP('',(#6074,#6075,#6076,#6077)); +#1065=EDGE_LOOP('',(#6078,#6079,#6080,#6081)); +#1066=EDGE_LOOP('',(#6082,#6083,#6084,#6085)); +#1067=EDGE_LOOP('',(#6086,#6087,#6088,#6089)); +#1068=EDGE_LOOP('',(#6090,#6091,#6092,#6093)); +#1069=EDGE_LOOP('',(#6094,#6095,#6096,#6097)); +#1070=EDGE_LOOP('',(#6098,#6099,#6100,#6101,#6102)); +#1071=EDGE_LOOP('',(#6103,#6104,#6105,#6106)); +#1072=EDGE_LOOP('',(#6107,#6108,#6109,#6110)); +#1073=EDGE_LOOP('',(#6111,#6112,#6113,#6114)); +#1074=EDGE_LOOP('',(#6115,#6116,#6117,#6118)); +#1075=EDGE_LOOP('',(#6119,#6120,#6121,#6122)); +#1076=EDGE_LOOP('',(#6123,#6124,#6125,#6126)); +#1077=EDGE_LOOP('',(#6127,#6128,#6129,#6130)); +#1078=EDGE_LOOP('',(#6131,#6132,#6133,#6134)); +#1079=EDGE_LOOP('',(#6135,#6136,#6137,#6138)); +#1080=EDGE_LOOP('',(#6139,#6140,#6141,#6142)); +#1081=EDGE_LOOP('',(#6143,#6144,#6145,#6146)); +#1082=EDGE_LOOP('',(#6147,#6148,#6149,#6150)); +#1083=EDGE_LOOP('',(#6151,#6152,#6153,#6154)); +#1084=EDGE_LOOP('',(#6155,#6156,#6157,#6158)); +#1085=EDGE_LOOP('',(#6159,#6160,#6161,#6162)); +#1086=EDGE_LOOP('',(#6163,#6164,#6165,#6166)); +#1087=EDGE_LOOP('',(#6167,#6168,#6169,#6170)); +#1088=EDGE_LOOP('',(#6171,#6172,#6173,#6174)); +#1089=EDGE_LOOP('',(#6175,#6176,#6177,#6178)); +#1090=EDGE_LOOP('',(#6179,#6180,#6181,#6182)); +#1091=EDGE_LOOP('',(#6183,#6184,#6185,#6186)); +#1092=EDGE_LOOP('',(#6187,#6188,#6189,#6190)); +#1093=EDGE_LOOP('',(#6191,#6192,#6193,#6194)); +#1094=EDGE_LOOP('',(#6195,#6196,#6197,#6198)); +#1095=EDGE_LOOP('',(#6199,#6200,#6201,#6202)); +#1096=EDGE_LOOP('',(#6203,#6204,#6205,#6206)); +#1097=EDGE_LOOP('',(#6207,#6208,#6209,#6210)); +#1098=EDGE_LOOP('',(#6211,#6212,#6213,#6214)); +#1099=EDGE_LOOP('',(#6215,#6216,#6217,#6218)); +#1100=EDGE_LOOP('',(#6219,#6220,#6221,#6222)); +#1101=EDGE_LOOP('',(#6223,#6224,#6225,#6226)); +#1102=EDGE_LOOP('',(#6227,#6228,#6229,#6230)); +#1103=EDGE_LOOP('',(#6231,#6232,#6233,#6234,#6235,#6236,#6237,#6238,#6239, +#6240,#6241,#6242,#6243,#6244,#6245,#6246,#6247,#6248,#6249,#6250,#6251, +#6252,#6253,#6254,#6255,#6256,#6257,#6258,#6259,#6260,#6261,#6262)); +#1104=EDGE_LOOP('',(#6263,#6264,#6265,#6266)); +#1105=EDGE_LOOP('',(#6267,#6268,#6269,#6270)); +#1106=EDGE_LOOP('',(#6271,#6272,#6273,#6274)); +#1107=EDGE_LOOP('',(#6275,#6276,#6277,#6278)); +#1108=EDGE_LOOP('',(#6279,#6280,#6281,#6282)); +#1109=EDGE_LOOP('',(#6283,#6284,#6285,#6286)); +#1110=EDGE_LOOP('',(#6287,#6288,#6289,#6290)); +#1111=EDGE_LOOP('',(#6291,#6292,#6293,#6294)); +#1112=EDGE_LOOP('',(#6295,#6296,#6297,#6298)); +#1113=EDGE_LOOP('',(#6299,#6300,#6301,#6302)); +#1114=EDGE_LOOP('',(#6303,#6304,#6305,#6306,#6307,#6308)); +#1115=EDGE_LOOP('',(#6309,#6310,#6311,#6312)); +#1116=EDGE_LOOP('',(#6313,#6314,#6315,#6316)); +#1117=EDGE_LOOP('',(#6317,#6318,#6319,#6320)); +#1118=EDGE_LOOP('',(#6321,#6322,#6323,#6324)); +#1119=EDGE_LOOP('',(#6325,#6326,#6327,#6328)); +#1120=EDGE_LOOP('',(#6329,#6330,#6331,#6332)); +#1121=EDGE_LOOP('',(#6333,#6334,#6335,#6336)); +#1122=EDGE_LOOP('',(#6337,#6338,#6339,#6340)); +#1123=EDGE_LOOP('',(#6341,#6342,#6343,#6344)); +#1124=EDGE_LOOP('',(#6345,#6346,#6347,#6348)); +#1125=EDGE_LOOP('',(#6349,#6350,#6351,#6352)); +#1126=EDGE_LOOP('',(#6353,#6354,#6355,#6356)); +#1127=EDGE_LOOP('',(#6357,#6358,#6359,#6360)); +#1128=EDGE_LOOP('',(#6361,#6362,#6363,#6364)); +#1129=EDGE_LOOP('',(#6365,#6366,#6367,#6368)); +#1130=EDGE_LOOP('',(#6369,#6370,#6371,#6372)); +#1131=EDGE_LOOP('',(#6373,#6374,#6375,#6376)); +#1132=EDGE_LOOP('',(#6377,#6378,#6379,#6380)); +#1133=EDGE_LOOP('',(#6381,#6382,#6383,#6384)); +#1134=EDGE_LOOP('',(#6385,#6386,#6387,#6388,#6389,#6390)); +#1135=EDGE_LOOP('',(#6391,#6392,#6393,#6394)); +#1136=EDGE_LOOP('',(#6395,#6396,#6397,#6398,#6399,#6400,#6401,#6402,#6403, +#6404,#6405,#6406,#6407,#6408,#6409,#6410,#6411,#6412,#6413,#6414,#6415, +#6416,#6417,#6418,#6419,#6420,#6421,#6422,#6423,#6424,#6425,#6426,#6427, +#6428,#6429,#6430,#6431,#6432,#6433,#6434,#6435,#6436,#6437,#6438)); +#1137=EDGE_LOOP('',(#6439,#6440,#6441,#6442,#6443,#6444,#6445,#6446,#6447, +#6448,#6449,#6450,#6451,#6452,#6453,#6454,#6455,#6456,#6457,#6458,#6459, +#6460,#6461,#6462,#6463,#6464,#6465,#6466)); +#1138=EDGE_LOOP('',(#6467,#6468,#6469,#6470)); +#1139=EDGE_LOOP('',(#6471,#6472,#6473,#6474)); +#1140=EDGE_LOOP('',(#6475,#6476,#6477,#6478,#6479,#6480)); +#1141=EDGE_LOOP('',(#6481,#6482,#6483,#6484)); +#1142=EDGE_LOOP('',(#6485,#6486,#6487,#6488,#6489,#6490)); +#1143=EDGE_LOOP('',(#6491,#6492,#6493,#6494)); +#1144=EDGE_LOOP('',(#6495,#6496,#6497,#6498)); +#1145=EDGE_LOOP('',(#6499,#6500,#6501,#6502)); +#1146=EDGE_LOOP('',(#6503,#6504,#6505,#6506,#6507,#6508)); +#1147=EDGE_LOOP('',(#6509,#6510,#6511,#6512)); +#1148=EDGE_LOOP('',(#6513,#6514,#6515,#6516,#6517,#6518)); +#1149=EDGE_LOOP('',(#6519,#6520,#6521,#6522)); +#1150=EDGE_LOOP('',(#6523,#6524,#6525,#6526)); +#1151=EDGE_LOOP('',(#6527,#6528,#6529,#6530)); +#1152=EDGE_LOOP('',(#6531)); +#1153=EDGE_LOOP('',(#6532,#6533,#6534,#6535)); +#1154=EDGE_LOOP('',(#6536,#6537,#6538,#6539)); +#1155=EDGE_LOOP('',(#6540)); +#1156=EDGE_LOOP('',(#6541,#6542,#6543,#6544)); +#1157=EDGE_LOOP('',(#6545,#6546,#6547,#6548)); +#1158=EDGE_LOOP('',(#6549)); +#1159=EDGE_LOOP('',(#6550,#6551,#6552,#6553)); +#1160=EDGE_LOOP('',(#6554,#6555,#6556,#6557)); +#1161=EDGE_LOOP('',(#6558,#6559,#6560,#6561)); +#1162=EDGE_LOOP('',(#6562,#6563,#6564,#6565)); +#1163=EDGE_LOOP('',(#6566,#6567,#6568,#6569)); +#1164=EDGE_LOOP('',(#6570,#6571,#6572,#6573)); +#1165=EDGE_LOOP('',(#6574,#6575,#6576,#6577)); +#1166=EDGE_LOOP('',(#6578,#6579,#6580,#6581)); +#1167=EDGE_LOOP('',(#6582,#6583,#6584,#6585)); +#1168=EDGE_LOOP('',(#6586,#6587,#6588,#6589)); +#1169=EDGE_LOOP('',(#6590,#6591,#6592,#6593)); +#1170=EDGE_LOOP('',(#6594,#6595,#6596,#6597)); +#1171=EDGE_LOOP('',(#6598,#6599,#6600,#6601)); +#1172=EDGE_LOOP('',(#6602,#6603,#6604,#6605)); +#1173=EDGE_LOOP('',(#6606,#6607,#6608,#6609,#6610,#6611,#6612,#6613)); +#1174=EDGE_LOOP('',(#6614,#6615,#6616,#6617)); +#1175=EDGE_LOOP('',(#6618)); +#1176=EDGE_LOOP('',(#6619,#6620,#6621,#6622)); +#1177=EDGE_LOOP('',(#6623)); +#1178=EDGE_LOOP('',(#6624)); +#1179=EDGE_LOOP('',(#6625,#6626,#6627)); +#1180=EDGE_LOOP('',(#6628,#6629,#6630)); +#1181=EDGE_LOOP('',(#6631,#6632,#6633,#6634,#6635,#6636,#6637,#6638)); +#1182=EDGE_LOOP('',(#6639,#6640,#6641)); +#1183=EDGE_LOOP('',(#6642,#6643,#6644)); +#1184=EDGE_LOOP('',(#6645,#6646,#6647,#6648)); +#1185=EDGE_LOOP('',(#6649,#6650,#6651,#6652)); +#1186=EDGE_LOOP('',(#6653,#6654,#6655,#6656)); +#1187=EDGE_LOOP('',(#6657,#6658,#6659,#6660)); +#1188=EDGE_LOOP('',(#6661,#6662,#6663,#6664)); +#1189=EDGE_LOOP('',(#6665,#6666,#6667,#6668)); +#1190=EDGE_LOOP('',(#6669,#6670,#6671,#6672)); +#1191=EDGE_LOOP('',(#6673,#6674,#6675,#6676)); +#1192=EDGE_LOOP('',(#6677,#6678,#6679,#6680)); +#1193=EDGE_LOOP('',(#6681,#6682,#6683,#6684)); +#1194=EDGE_LOOP('',(#6685,#6686,#6687,#6688)); +#1195=EDGE_LOOP('',(#6689,#6690,#6691,#6692)); +#1196=EDGE_LOOP('',(#6693,#6694,#6695,#6696,#6697,#6698,#6699,#6700,#6701, +#6702,#6703,#6704)); +#1197=EDGE_LOOP('',(#6705,#6706,#6707,#6708)); +#1198=EDGE_LOOP('',(#6709,#6710,#6711,#6712)); +#1199=EDGE_LOOP('',(#6713,#6714,#6715,#6716)); +#1200=EDGE_LOOP('',(#6717,#6718,#6719,#6720)); +#1201=EDGE_LOOP('',(#6721,#6722,#6723,#6724)); +#1202=EDGE_LOOP('',(#6725,#6726,#6727,#6728)); +#1203=EDGE_LOOP('',(#6729,#6730,#6731,#6732)); +#1204=EDGE_LOOP('',(#6733,#6734,#6735,#6736)); +#1205=EDGE_LOOP('',(#6737,#6738,#6739,#6740)); +#1206=EDGE_LOOP('',(#6741,#6742,#6743,#6744)); +#1207=EDGE_LOOP('',(#6745,#6746,#6747,#6748)); +#1208=EDGE_LOOP('',(#6749,#6750,#6751,#6752)); +#1209=EDGE_LOOP('',(#6753,#6754,#6755,#6756,#6757,#6758,#6759,#6760,#6761, +#6762,#6763,#6764)); +#1210=EDGE_LOOP('',(#6765,#6766,#6767,#6768,#6769,#6770)); +#1211=EDGE_LOOP('',(#6771,#6772,#6773,#6774,#6775)); +#1212=EDGE_LOOP('',(#6776,#6777,#6778,#6779,#6780)); +#1213=EDGE_LOOP('',(#6781,#6782,#6783,#6784)); +#1214=EDGE_LOOP('',(#6785,#6786,#6787,#6788,#6789,#6790,#6791,#6792,#6793, +#6794,#6795,#6796)); +#1215=EDGE_LOOP('',(#6797,#6798,#6799,#6800,#6801,#6802,#6803,#6804,#6805, +#6806,#6807,#6808)); +#1216=EDGE_LOOP('',(#6809,#6810,#6811,#6812)); +#1217=EDGE_LOOP('',(#6813,#6814,#6815,#6816,#6817,#6818)); +#1218=EDGE_LOOP('',(#6819,#6820,#6821,#6822,#6823)); +#1219=EDGE_LOOP('',(#6824,#6825,#6826,#6827,#6828)); +#1220=EDGE_LOOP('',(#6829,#6830,#6831,#6832)); +#1221=EDGE_LOOP('',(#6833,#6834,#6835,#6836,#6837)); +#1222=EDGE_LOOP('',(#6838,#6839,#6840,#6841,#6842)); +#1223=EDGE_LOOP('',(#6843,#6844,#6845,#6846,#6847,#6848)); +#1224=EDGE_LOOP('',(#6849,#6850,#6851,#6852,#6853,#6854,#6855,#6856)); +#1225=EDGE_LOOP('',(#6857,#6858,#6859,#6860)); +#1226=EDGE_LOOP('',(#6861,#6862,#6863,#6864,#6865)); +#1227=EDGE_LOOP('',(#6866,#6867,#6868,#6869,#6870)); +#1228=EDGE_LOOP('',(#6871,#6872,#6873,#6874,#6875,#6876)); +#1229=EDGE_LOOP('',(#6877,#6878,#6879,#6880)); +#1230=EDGE_LOOP('',(#6881,#6882,#6883,#6884)); +#1231=EDGE_LOOP('',(#6885,#6886,#6887,#6888)); +#1232=EDGE_LOOP('',(#6889,#6890,#6891,#6892,#6893,#6894)); +#1233=EDGE_LOOP('',(#6895,#6896,#6897,#6898)); +#1234=EDGE_LOOP('',(#6899,#6900,#6901,#6902,#6903,#6904)); +#1235=EDGE_LOOP('',(#6905,#6906,#6907,#6908,#6909,#6910,#6911,#6912)); +#1236=EDGE_LOOP('',(#6913,#6914,#6915,#6916)); +#1237=EDGE_LOOP('',(#6917,#6918,#6919,#6920)); +#1238=EDGE_LOOP('',(#6921,#6922,#6923,#6924,#6925,#6926)); +#1239=EDGE_LOOP('',(#6927,#6928,#6929,#6930,#6931,#6932)); +#1240=LINE('',#10344,#2141); +#1241=LINE('',#10346,#2142); +#1242=LINE('',#10347,#2143); +#1243=LINE('',#10351,#2144); +#1244=LINE('',#10352,#2145); +#1245=LINE('',#10354,#2146); +#1246=LINE('',#10366,#2147); +#1247=LINE('',#10367,#2148); +#1248=LINE('',#10371,#2149); +#1249=LINE('',#10372,#2150); +#1250=LINE('',#10384,#2151); +#1251=LINE('',#10385,#2152); +#1252=LINE('',#10389,#2153); +#1253=LINE('',#10390,#2154); +#1254=LINE('',#10401,#2155); +#1255=LINE('',#10405,#2156); +#1256=LINE('',#10407,#2157); +#1257=LINE('',#10408,#2158); +#1258=LINE('',#10412,#2159); +#1259=LINE('',#10414,#2160); +#1260=LINE('',#10416,#2161); +#1261=LINE('',#10417,#2162); +#1262=LINE('',#10428,#2163); +#1263=LINE('',#10430,#2164); +#1264=LINE('',#10431,#2165); +#1265=LINE('',#10442,#2166); +#1266=LINE('',#10447,#2167); +#1267=LINE('',#10452,#2168); +#1268=LINE('',#10456,#2169); +#1269=LINE('',#10458,#2170); +#1270=LINE('',#10459,#2171); +#1271=LINE('',#10467,#2172); +#1272=LINE('',#10468,#2173); +#1273=LINE('',#10469,#2174); +#1274=LINE('',#10472,#2175); +#1275=LINE('',#10473,#2176); +#1276=LINE('',#10487,#2177); +#1277=LINE('',#10490,#2178); +#1278=LINE('',#10491,#2179); +#1279=LINE('',#10494,#2180); +#1280=LINE('',#10495,#2181); +#1281=LINE('',#10509,#2182); +#1282=LINE('',#10512,#2183); +#1283=LINE('',#10513,#2184); +#1284=LINE('',#10516,#2185); +#1285=LINE('',#10517,#2186); +#1286=LINE('',#10520,#2187); +#1287=LINE('',#10521,#2188); +#1288=LINE('',#10523,#2189); +#1289=LINE('',#10524,#2190); +#1290=LINE('',#10527,#2191); +#1291=LINE('',#10528,#2192); +#1292=LINE('',#10530,#2193); +#1293=LINE('',#10531,#2194); +#1294=LINE('',#10544,#2195); +#1295=LINE('',#10547,#2196); +#1296=LINE('',#10548,#2197); +#1297=LINE('',#10550,#2198); +#1298=LINE('',#10551,#2199); +#1299=LINE('',#10564,#2200); +#1300=LINE('',#10567,#2201); +#1301=LINE('',#10568,#2202); +#1302=LINE('',#10571,#2203); +#1303=LINE('',#10572,#2204); +#1304=LINE('',#10586,#2205); +#1305=LINE('',#10588,#2206); +#1306=LINE('',#10589,#2207); +#1307=LINE('',#10590,#2208); +#1308=LINE('',#10606,#2209); +#1309=LINE('',#10608,#2210); +#1310=LINE('',#10610,#2211); +#1311=LINE('',#10611,#2212); +#1312=LINE('',#10614,#2213); +#1313=LINE('',#10616,#2214); +#1314=LINE('',#10617,#2215); +#1315=LINE('',#10621,#2216); +#1316=LINE('',#10623,#2217); +#1317=LINE('',#10625,#2218); +#1318=LINE('',#10626,#2219); +#1319=LINE('',#10630,#2220); +#1320=LINE('',#10632,#2221); +#1321=LINE('',#10634,#2222); +#1322=LINE('',#10635,#2223); +#1323=LINE('',#10638,#2224); +#1324=LINE('',#10640,#2225); +#1325=LINE('',#10641,#2226); +#1326=LINE('',#10645,#2227); +#1327=LINE('',#10647,#2228); +#1328=LINE('',#10649,#2229); +#1329=LINE('',#10650,#2230); +#1330=LINE('',#10653,#2231); +#1331=LINE('',#10655,#2232); +#1332=LINE('',#10656,#2233); +#1333=LINE('',#10660,#2234); +#1334=LINE('',#10662,#2235); +#1335=LINE('',#10664,#2236); +#1336=LINE('',#10665,#2237); +#1337=LINE('',#10668,#2238); +#1338=LINE('',#10670,#2239); +#1339=LINE('',#10671,#2240); +#1340=LINE('',#10675,#2241); +#1341=LINE('',#10677,#2242); +#1342=LINE('',#10679,#2243); +#1343=LINE('',#10680,#2244); +#1344=LINE('',#10683,#2245); +#1345=LINE('',#10685,#2246); +#1346=LINE('',#10686,#2247); +#1347=LINE('',#10689,#2248); +#1348=LINE('',#10691,#2249); +#1349=LINE('',#10693,#2250); +#1350=LINE('',#10695,#2251); +#1351=LINE('',#10697,#2252); +#1352=LINE('',#10699,#2253); +#1353=LINE('',#10700,#2254); +#1354=LINE('',#10702,#2255); +#1355=LINE('',#10704,#2256); +#1356=LINE('',#10706,#2257); +#1357=LINE('',#10708,#2258); +#1358=LINE('',#10710,#2259); +#1359=LINE('',#10711,#2260); +#1360=LINE('',#10712,#2261); +#1361=LINE('',#10714,#2262); +#1362=LINE('',#10716,#2263); +#1363=LINE('',#10718,#2264); +#1364=LINE('',#10720,#2265); +#1365=LINE('',#10722,#2266); +#1366=LINE('',#10723,#2267); +#1367=LINE('',#10725,#2268); +#1368=LINE('',#10727,#2269); +#1369=LINE('',#10729,#2270); +#1370=LINE('',#10731,#2271); +#1371=LINE('',#10733,#2272); +#1372=LINE('',#10734,#2273); +#1373=LINE('',#10735,#2274); +#1374=LINE('',#10739,#2275); +#1375=LINE('',#10741,#2276); +#1376=LINE('',#10743,#2277); +#1377=LINE('',#10744,#2278); +#1378=LINE('',#10747,#2279); +#1379=LINE('',#10751,#2280); +#1380=LINE('',#10753,#2281); +#1381=LINE('',#10759,#2282); +#1382=LINE('',#10761,#2283); +#1383=LINE('',#10768,#2284); +#1384=LINE('',#10770,#2285); +#1385=LINE('',#10773,#2286); +#1386=LINE('',#10775,#2287); +#1387=LINE('',#10779,#2288); +#1388=LINE('',#10783,#2289); +#1389=LINE('',#10787,#2290); +#1390=LINE('',#10790,#2291); +#1391=LINE('',#10794,#2292); +#1392=LINE('',#10796,#2293); +#1393=LINE('',#10798,#2294); +#1394=LINE('',#10799,#2295); +#1395=LINE('',#10802,#2296); +#1396=LINE('',#10804,#2297); +#1397=LINE('',#10808,#2298); +#1398=LINE('',#10812,#2299); +#1399=LINE('',#10816,#2300); +#1400=LINE('',#10820,#2301); +#1401=LINE('',#10821,#2302); +#1402=LINE('',#10825,#2303); +#1403=LINE('',#10827,#2304); +#1404=LINE('',#10831,#2305); +#1405=LINE('',#10833,#2306); +#1406=LINE('',#10837,#2307); +#1407=LINE('',#10839,#2308); +#1408=LINE('',#10844,#2309); +#1409=LINE('',#10846,#2310); +#1410=LINE('',#10850,#2311); +#1411=LINE('',#10852,#2312); +#1412=LINE('',#10856,#2313); +#1413=LINE('',#10858,#2314); +#1414=LINE('',#10868,#2315); +#1415=LINE('',#10870,#2316); +#1416=LINE('',#10872,#2317); +#1417=LINE('',#10873,#2318); +#1418=LINE('',#10875,#2319); +#1419=LINE('',#10876,#2320); +#1420=LINE('',#10879,#2321); +#1421=LINE('',#10880,#2322); +#1422=LINE('',#10882,#2323); +#1423=LINE('',#10883,#2324); +#1424=LINE('',#10886,#2325); +#1425=LINE('',#10888,#2326); +#1426=LINE('',#10889,#2327); +#1427=LINE('',#10890,#2328); +#1428=LINE('',#10893,#2329); +#1429=LINE('',#10895,#2330); +#1430=LINE('',#10896,#2331); +#1431=LINE('',#10897,#2332); +#1432=LINE('',#10900,#2333); +#1433=LINE('',#10902,#2334); +#1434=LINE('',#10903,#2335); +#1435=LINE('',#10906,#2336); +#1436=LINE('',#10907,#2337); +#1437=LINE('',#10909,#2338); +#1438=LINE('',#10910,#2339); +#1439=LINE('',#10913,#2340); +#1440=LINE('',#10915,#2341); +#1441=LINE('',#10916,#2342); +#1442=LINE('',#10917,#2343); +#1443=LINE('',#10920,#2344); +#1444=LINE('',#10922,#2345); +#1445=LINE('',#10923,#2346); +#1446=LINE('',#10924,#2347); +#1447=LINE('',#10927,#2348); +#1448=LINE('',#10929,#2349); +#1449=LINE('',#10930,#2350); +#1450=LINE('',#10933,#2351); +#1451=LINE('',#10935,#2352); +#1452=LINE('',#10936,#2353); +#1453=LINE('',#10938,#2354); +#1454=LINE('',#10940,#2355); +#1455=LINE('',#10941,#2356); +#1456=LINE('',#10944,#2357); +#1457=LINE('',#10945,#2358); +#1458=LINE('',#10947,#2359); +#1459=LINE('',#10948,#2360); +#1460=LINE('',#10951,#2361); +#1461=LINE('',#10953,#2362); +#1462=LINE('',#10954,#2363); +#1463=LINE('',#10957,#2364); +#1464=LINE('',#10958,#2365); +#1465=LINE('',#10960,#2366); +#1466=LINE('',#10961,#2367); +#1467=LINE('',#10964,#2368); +#1468=LINE('',#10966,#2369); +#1469=LINE('',#10967,#2370); +#1470=LINE('',#10970,#2371); +#1471=LINE('',#10971,#2372); +#1472=LINE('',#10973,#2373); +#1473=LINE('',#10974,#2374); +#1474=LINE('',#10977,#2375); +#1475=LINE('',#10979,#2376); +#1476=LINE('',#10980,#2377); +#1477=LINE('',#10983,#2378); +#1478=LINE('',#10985,#2379); +#1479=LINE('',#10986,#2380); +#1480=LINE('',#10989,#2381); +#1481=LINE('',#10990,#2382); +#1482=LINE('',#10992,#2383); +#1483=LINE('',#10996,#2384); +#1484=LINE('',#10998,#2385); +#1485=LINE('',#10999,#2386); +#1486=LINE('',#11000,#2387); +#1487=LINE('',#11002,#2388); +#1488=LINE('',#11005,#2389); +#1489=LINE('',#11006,#2390); +#1490=LINE('',#11009,#2391); +#1491=LINE('',#11010,#2392); +#1492=LINE('',#11012,#2393); +#1493=LINE('',#11015,#2394); +#1494=LINE('',#11016,#2395); +#1495=LINE('',#11019,#2396); +#1496=LINE('',#11020,#2397); +#1497=LINE('',#11023,#2398); +#1498=LINE('',#11025,#2399); +#1499=LINE('',#11026,#2400); +#1500=LINE('',#11028,#2401); +#1501=LINE('',#11031,#2402); +#1502=LINE('',#11032,#2403); +#1503=LINE('',#11035,#2404); +#1504=LINE('',#11036,#2405); +#1505=LINE('',#11038,#2406); +#1506=LINE('',#11041,#2407); +#1507=LINE('',#11042,#2408); +#1508=LINE('',#11043,#2409); +#1509=LINE('',#11046,#2410); +#1510=LINE('',#11047,#2411); +#1511=LINE('',#11050,#2412); +#1512=LINE('',#11051,#2413); +#1513=LINE('',#11054,#2414); +#1514=LINE('',#11055,#2415); +#1515=LINE('',#11058,#2416); +#1516=LINE('',#11059,#2417); +#1517=LINE('',#11062,#2418); +#1518=LINE('',#11063,#2419); +#1519=LINE('',#11064,#2420); +#1520=LINE('',#11066,#2421); +#1521=LINE('',#11068,#2422); +#1522=LINE('',#11075,#2423); +#1523=LINE('',#11081,#2424); +#1524=LINE('',#11084,#2425); +#1525=LINE('',#11087,#2426); +#1526=LINE('',#11088,#2427); +#1527=LINE('',#11092,#2428); +#1528=LINE('',#11095,#2429); +#1529=LINE('',#11096,#2430); +#1530=LINE('',#11100,#2431); +#1531=LINE('',#11103,#2432); +#1532=LINE('',#11104,#2433); +#1533=LINE('',#11108,#2434); +#1534=LINE('',#11110,#2435); +#1535=LINE('',#11116,#2436); +#1536=LINE('',#11125,#2437); +#1537=LINE('',#11129,#2438); +#1538=LINE('',#11133,#2439); +#1539=LINE('',#11137,#2440); +#1540=LINE('',#11140,#2441); +#1541=LINE('',#11150,#2442); +#1542=LINE('',#11152,#2443); +#1543=LINE('',#11154,#2444); +#1544=LINE('',#11156,#2445); +#1545=LINE('',#11158,#2446); +#1546=LINE('',#11160,#2447); +#1547=LINE('',#11162,#2448); +#1548=LINE('',#11164,#2449); +#1549=LINE('',#11172,#2450); +#1550=LINE('',#11174,#2451); +#1551=LINE('',#11175,#2452); +#1552=LINE('',#11178,#2453); +#1553=LINE('',#11180,#2454); +#1554=LINE('',#11181,#2455); +#1555=LINE('',#11184,#2456); +#1556=LINE('',#11185,#2457); +#1557=LINE('',#11187,#2458); +#1558=LINE('',#11192,#2459); +#1559=LINE('',#11194,#2460); +#1560=LINE('',#11195,#2461); +#1561=LINE('',#11199,#2462); +#1562=LINE('',#11200,#2463); +#1563=LINE('',#11201,#2464); +#1564=LINE('',#11204,#2465); +#1565=LINE('',#11205,#2466); +#1566=LINE('',#11207,#2467); +#1567=LINE('',#11212,#2468); +#1568=LINE('',#11214,#2469); +#1569=LINE('',#11215,#2470); +#1570=LINE('',#11218,#2471); +#1571=LINE('',#11220,#2472); +#1572=LINE('',#11221,#2473); +#1573=LINE('',#11224,#2474); +#1574=LINE('',#11225,#2475); +#1575=LINE('',#11227,#2476); +#1576=LINE('',#11232,#2477); +#1577=LINE('',#11234,#2478); +#1578=LINE('',#11235,#2479); +#1579=LINE('',#11239,#2480); +#1580=LINE('',#11240,#2481); +#1581=LINE('',#11241,#2482); +#1582=LINE('',#11244,#2483); +#1583=LINE('',#11245,#2484); +#1584=LINE('',#11247,#2485); +#1585=LINE('',#11252,#2486); +#1586=LINE('',#11258,#2487); +#1587=LINE('',#11267,#2488); +#1588=LINE('',#11269,#2489); +#1589=LINE('',#11271,#2490); +#1590=LINE('',#11272,#2491); +#1591=LINE('',#11276,#2492); +#1592=LINE('',#11277,#2493); +#1593=LINE('',#11285,#2494); +#1594=LINE('',#11292,#2495); +#1595=LINE('',#11293,#2496); +#1596=LINE('',#11304,#2497); +#1597=LINE('',#11305,#2498); +#1598=LINE('',#11308,#2499); +#1599=LINE('',#11310,#2500); +#1600=LINE('',#11311,#2501); +#1601=LINE('',#11321,#2502); +#1602=LINE('',#11328,#2503); +#1603=LINE('',#11329,#2504); +#1604=LINE('',#11338,#2505); +#1605=LINE('',#11345,#2506); +#1606=LINE('',#11346,#2507); +#1607=LINE('',#11348,#2508); +#1608=LINE('',#11349,#2509); +#1609=LINE('',#11359,#2510); +#1610=LINE('',#11366,#2511); +#1611=LINE('',#11367,#2512); +#1612=LINE('',#11370,#2513); +#1613=LINE('',#11371,#2514); +#1614=LINE('',#11381,#2515); +#1615=LINE('',#11388,#2516); +#1616=LINE('',#11389,#2517); +#1617=LINE('',#11392,#2518); +#1618=LINE('',#11393,#2519); +#1619=LINE('',#11396,#2520); +#1620=LINE('',#11397,#2521); +#1621=LINE('',#11400,#2522); +#1622=LINE('',#11401,#2523); +#1623=LINE('',#11410,#2524); +#1624=LINE('',#11417,#2525); +#1625=LINE('',#11418,#2526); +#1626=LINE('',#11420,#2527); +#1627=LINE('',#11421,#2528); +#1628=LINE('',#11430,#2529); +#1629=LINE('',#11436,#2530); +#1630=LINE('',#11446,#2531); +#1631=LINE('',#11448,#2532); +#1632=LINE('',#11449,#2533); +#1633=LINE('',#11453,#2534); +#1634=LINE('',#11454,#2535); +#1635=LINE('',#11455,#2536); +#1636=LINE('',#11458,#2537); +#1637=LINE('',#11459,#2538); +#1638=LINE('',#11461,#2539); +#1639=LINE('',#11466,#2540); +#1640=LINE('',#11468,#2541); +#1641=LINE('',#11469,#2542); +#1642=LINE('',#11472,#2543); +#1643=LINE('',#11474,#2544); +#1644=LINE('',#11476,#2545); +#1645=LINE('',#11477,#2546); +#1646=LINE('',#11480,#2547); +#1647=LINE('',#11482,#2548); +#1648=LINE('',#11483,#2549); +#1649=LINE('',#11486,#2550); +#1650=LINE('',#11487,#2551); +#1651=LINE('',#11490,#2552); +#1652=LINE('',#11491,#2553); +#1653=LINE('',#11494,#2554); +#1654=LINE('',#11495,#2555); +#1655=LINE('',#11498,#2556); +#1656=LINE('',#11499,#2557); +#1657=LINE('',#11501,#2558); +#1658=LINE('',#11502,#2559); +#1659=LINE('',#11504,#2560); +#1660=LINE('',#11510,#2561); +#1661=LINE('',#11512,#2562); +#1662=LINE('',#11513,#2563); +#1663=LINE('',#11517,#2564); +#1664=LINE('',#11518,#2565); +#1665=LINE('',#11519,#2566); +#1666=LINE('',#11522,#2567); +#1667=LINE('',#11523,#2568); +#1668=LINE('',#11525,#2569); +#1669=LINE('',#11530,#2570); +#1670=LINE('',#11532,#2571); +#1671=LINE('',#11533,#2572); +#1672=LINE('',#11536,#2573); +#1673=LINE('',#11538,#2574); +#1674=LINE('',#11539,#2575); +#1675=LINE('',#11542,#2576); +#1676=LINE('',#11543,#2577); +#1677=LINE('',#11545,#2578); +#1678=LINE('',#11550,#2579); +#1679=LINE('',#11552,#2580); +#1680=LINE('',#11553,#2581); +#1681=LINE('',#11557,#2582); +#1682=LINE('',#11558,#2583); +#1683=LINE('',#11559,#2584); +#1684=LINE('',#11562,#2585); +#1685=LINE('',#11563,#2586); +#1686=LINE('',#11565,#2587); +#1687=LINE('',#11570,#2588); +#1688=LINE('',#11572,#2589); +#1689=LINE('',#11574,#2590); +#1690=LINE('',#11575,#2591); +#1691=LINE('',#11578,#2592); +#1692=LINE('',#11580,#2593); +#1693=LINE('',#11581,#2594); +#1694=LINE('',#11584,#2595); +#1695=LINE('',#11585,#2596); +#1696=LINE('',#11588,#2597); +#1697=LINE('',#11589,#2598); +#1698=LINE('',#11591,#2599); +#1699=LINE('',#11596,#2600); +#1700=LINE('',#11598,#2601); +#1701=LINE('',#11599,#2602); +#1702=LINE('',#11603,#2603); +#1703=LINE('',#11604,#2604); +#1704=LINE('',#11605,#2605); +#1705=LINE('',#11608,#2606); +#1706=LINE('',#11609,#2607); +#1707=LINE('',#11611,#2608); +#1708=LINE('',#11621,#2609); +#1709=LINE('',#11622,#2610); +#1710=LINE('',#11626,#2611); +#1711=LINE('',#11628,#2612); +#1712=LINE('',#11630,#2613); +#1713=LINE('',#11641,#2614); +#1714=LINE('',#11645,#2615); +#1715=LINE('',#11646,#2616); +#1716=LINE('',#11658,#2617); +#1717=LINE('',#11659,#2618); +#1718=LINE('',#11662,#2619); +#1719=LINE('',#11663,#2620); +#1720=LINE('',#11670,#2621); +#1721=LINE('',#11672,#2622); +#1722=LINE('',#11673,#2623); +#1723=LINE('',#11676,#2624); +#1724=LINE('',#11677,#2625); +#1725=LINE('',#11687,#2626); +#1726=LINE('',#11694,#2627); +#1727=LINE('',#11695,#2628); +#1728=LINE('',#11698,#2629); +#1729=LINE('',#11699,#2630); +#1730=LINE('',#11709,#2631); +#1731=LINE('',#11716,#2632); +#1732=LINE('',#11717,#2633); +#1733=LINE('',#11727,#2634); +#1734=LINE('',#11734,#2635); +#1735=LINE('',#11735,#2636); +#1736=LINE('',#11738,#2637); +#1737=LINE('',#11739,#2638); +#1738=LINE('',#11741,#2639); +#1739=LINE('',#11742,#2640); +#1740=LINE('',#11751,#2641); +#1741=LINE('',#11758,#2642); +#1742=LINE('',#11759,#2643); +#1743=LINE('',#11761,#2644); +#1744=LINE('',#11762,#2645); +#1745=LINE('',#11771,#2646); +#1746=LINE('',#11778,#2647); +#1747=LINE('',#11779,#2648); +#1748=LINE('',#11781,#2649); +#1749=LINE('',#11782,#2650); +#1750=LINE('',#11804,#2651); +#1751=LINE('',#11806,#2652); +#1752=LINE('',#11807,#2653); +#1753=LINE('',#11811,#2654); +#1754=LINE('',#11812,#2655); +#1755=LINE('',#11813,#2656); +#1756=LINE('',#11816,#2657); +#1757=LINE('',#11817,#2658); +#1758=LINE('',#11819,#2659); +#1759=LINE('',#11824,#2660); +#1760=LINE('',#11826,#2661); +#1761=LINE('',#11827,#2662); +#1762=LINE('',#11830,#2663); +#1763=LINE('',#11832,#2664); +#1764=LINE('',#11833,#2665); +#1765=LINE('',#11836,#2666); +#1766=LINE('',#11837,#2667); +#1767=LINE('',#11839,#2668); +#1768=LINE('',#11844,#2669); +#1769=LINE('',#11846,#2670); +#1770=LINE('',#11848,#2671); +#1771=LINE('',#11849,#2672); +#1772=LINE('',#11853,#2673); +#1773=LINE('',#11854,#2674); +#1774=LINE('',#11855,#2675); +#1775=LINE('',#11858,#2676); +#1776=LINE('',#11859,#2677); +#1777=LINE('',#11862,#2678); +#1778=LINE('',#11863,#2679); +#1779=LINE('',#11865,#2680); +#1780=LINE('',#11870,#2681); +#1781=LINE('',#11872,#2682); +#1782=LINE('',#11874,#2683); +#1783=LINE('',#11876,#2684); +#1784=LINE('',#11877,#2685); +#1785=LINE('',#11880,#2686); +#1786=LINE('',#11882,#2687); +#1787=LINE('',#11884,#2688); +#1788=LINE('',#11885,#2689); +#1789=LINE('',#11888,#2690); +#1790=LINE('',#11890,#2691); +#1791=LINE('',#11891,#2692); +#1792=LINE('',#11894,#2693); +#1793=LINE('',#11895,#2694); +#1794=LINE('',#11898,#2695); +#1795=LINE('',#11899,#2696); +#1796=LINE('',#11902,#2697); +#1797=LINE('',#11903,#2698); +#1798=LINE('',#11906,#2699); +#1799=LINE('',#11907,#2700); +#1800=LINE('',#11910,#2701); +#1801=LINE('',#11911,#2702); +#1802=LINE('',#11913,#2703); +#1803=LINE('',#11914,#2704); +#1804=LINE('',#11917,#2705); +#1805=LINE('',#11918,#2706); +#1806=LINE('',#11920,#2707); +#1807=LINE('',#11926,#2708); +#1808=LINE('',#11928,#2709); +#1809=LINE('',#11930,#2710); +#1810=LINE('',#11931,#2711); +#1811=LINE('',#11934,#2712); +#1812=LINE('',#11936,#2713); +#1813=LINE('',#11937,#2714); +#1814=LINE('',#11940,#2715); +#1815=LINE('',#11942,#2716); +#1816=LINE('',#11943,#2717); +#1817=LINE('',#11945,#2718); +#1818=LINE('',#11946,#2719); +#1819=LINE('',#11950,#2720); +#1820=LINE('',#11952,#2721); +#1821=LINE('',#11954,#2722); +#1822=LINE('',#11955,#2723); +#1823=LINE('',#11958,#2724); +#1824=LINE('',#11960,#2725); +#1825=LINE('',#11961,#2726); +#1826=LINE('',#11964,#2727); +#1827=LINE('',#11966,#2728); +#1828=LINE('',#11967,#2729); +#1829=LINE('',#11969,#2730); +#1830=LINE('',#11970,#2731); +#1831=LINE('',#11974,#2732); +#1832=LINE('',#11976,#2733); +#1833=LINE('',#11978,#2734); +#1834=LINE('',#11979,#2735); +#1835=LINE('',#11985,#2736); +#1836=LINE('',#11988,#2737); +#1837=LINE('',#11990,#2738); +#1838=LINE('',#11991,#2739); +#1839=LINE('',#11997,#2740); +#1840=LINE('',#12000,#2741); +#1841=LINE('',#12002,#2742); +#1842=LINE('',#12003,#2743); +#1843=LINE('',#12009,#2744); +#1844=LINE('',#12012,#2745); +#1845=LINE('',#12014,#2746); +#1846=LINE('',#12015,#2747); +#1847=LINE('',#12021,#2748); +#1848=LINE('',#12024,#2749); +#1849=LINE('',#12026,#2750); +#1850=LINE('',#12027,#2751); +#1851=LINE('',#12033,#2752); +#1852=LINE('',#12036,#2753); +#1853=LINE('',#12038,#2754); +#1854=LINE('',#12039,#2755); +#1855=LINE('',#12045,#2756); +#1856=LINE('',#12048,#2757); +#1857=LINE('',#12050,#2758); +#1858=LINE('',#12051,#2759); +#1859=LINE('',#12057,#2760); +#1860=LINE('',#12063,#2761); +#1861=LINE('',#12066,#2762); +#1862=LINE('',#12069,#2763); +#1863=LINE('',#12071,#2764); +#1864=LINE('',#12072,#2765); +#1865=LINE('',#12078,#2766); +#1866=LINE('',#12081,#2767); +#1867=LINE('',#12083,#2768); +#1868=LINE('',#12084,#2769); +#1869=LINE('',#12090,#2770); +#1870=LINE('',#12093,#2771); +#1871=LINE('',#12095,#2772); +#1872=LINE('',#12096,#2773); +#1873=LINE('',#12102,#2774); +#1874=LINE('',#12105,#2775); +#1875=LINE('',#12107,#2776); +#1876=LINE('',#12108,#2777); +#1877=LINE('',#12114,#2778); +#1878=LINE('',#12118,#2779); +#1879=LINE('',#12122,#2780); +#1880=LINE('',#12126,#2781); +#1881=LINE('',#12129,#2782); +#1882=LINE('',#12135,#2783); +#1883=LINE('',#12136,#2784); +#1884=LINE('',#12137,#2785); +#1885=LINE('',#12141,#2786); +#1886=LINE('',#12144,#2787); +#1887=LINE('',#12145,#2788); +#1888=LINE('',#12149,#2789); +#1889=LINE('',#12152,#2790); +#1890=LINE('',#12153,#2791); +#1891=LINE('',#12157,#2792); +#1892=LINE('',#12160,#2793); +#1893=LINE('',#12162,#2794); +#1894=LINE('',#12163,#2795); +#1895=LINE('',#12166,#2796); +#1896=LINE('',#12168,#2797); +#1897=LINE('',#12169,#2798); +#1898=LINE('',#12175,#2799); +#1899=LINE('',#12178,#2800); +#1900=LINE('',#12180,#2801); +#1901=LINE('',#12181,#2802); +#1902=LINE('',#12187,#2803); +#1903=LINE('',#12190,#2804); +#1904=LINE('',#12192,#2805); +#1905=LINE('',#12193,#2806); +#1906=LINE('',#12199,#2807); +#1907=LINE('',#12202,#2808); +#1908=LINE('',#12204,#2809); +#1909=LINE('',#12205,#2810); +#1910=LINE('',#12208,#2811); +#1911=LINE('',#12210,#2812); +#1912=LINE('',#12211,#2813); +#1913=LINE('',#12214,#2814); +#1914=LINE('',#12216,#2815); +#1915=LINE('',#12217,#2816); +#1916=LINE('',#12220,#2817); +#1917=LINE('',#12222,#2818); +#1918=LINE('',#12223,#2819); +#1919=LINE('',#12226,#2820); +#1920=LINE('',#12228,#2821); +#1921=LINE('',#12229,#2822); +#1922=LINE('',#12232,#2823); +#1923=LINE('',#12234,#2824); +#1924=LINE('',#12235,#2825); +#1925=LINE('',#12238,#2826); +#1926=LINE('',#12240,#2827); +#1927=LINE('',#12241,#2828); +#1928=LINE('',#12247,#2829); +#1929=LINE('',#12250,#2830); +#1930=LINE('',#12252,#2831); +#1931=LINE('',#12253,#2832); +#1932=LINE('',#12259,#2833); +#1933=LINE('',#12262,#2834); +#1934=LINE('',#12264,#2835); +#1935=LINE('',#12265,#2836); +#1936=LINE('',#12271,#2837); +#1937=LINE('',#12274,#2838); +#1938=LINE('',#12276,#2839); +#1939=LINE('',#12277,#2840); +#1940=LINE('',#12280,#2841); +#1941=LINE('',#12281,#2842); +#1942=LINE('',#12282,#2843); +#1943=LINE('',#12290,#2844); +#1944=LINE('',#12292,#2845); +#1945=LINE('',#12294,#2846); +#1946=LINE('',#12295,#2847); +#1947=LINE('',#12298,#2848); +#1948=LINE('',#12300,#2849); +#1949=LINE('',#12301,#2850); +#1950=LINE('',#12304,#2851); +#1951=LINE('',#12306,#2852); +#1952=LINE('',#12308,#2853); +#1953=LINE('',#12309,#2854); +#1954=LINE('',#12312,#2855); +#1955=LINE('',#12313,#2856); +#1956=LINE('',#12316,#2857); +#1957=LINE('',#12318,#2858); +#1958=LINE('',#12319,#2859); +#1959=LINE('',#12321,#2860); +#1960=LINE('',#12325,#2861); +#1961=LINE('',#12327,#2862); +#1962=LINE('',#12329,#2863); +#1963=LINE('',#12330,#2864); +#1964=LINE('',#12333,#2865); +#1965=LINE('',#12335,#2866); +#1966=LINE('',#12336,#2867); +#1967=LINE('',#12339,#2868); +#1968=LINE('',#12341,#2869); +#1969=LINE('',#12343,#2870); +#1970=LINE('',#12344,#2871); +#1971=LINE('',#12347,#2872); +#1972=LINE('',#12348,#2873); +#1973=LINE('',#12351,#2874); +#1974=LINE('',#12353,#2875); +#1975=LINE('',#12354,#2876); +#1976=LINE('',#12356,#2877); +#1977=LINE('',#12361,#2878); +#1978=LINE('',#12365,#2879); +#1979=LINE('',#12369,#2880); +#1980=LINE('',#12374,#2881); +#1981=LINE('',#12378,#2882); +#1982=LINE('',#12383,#2883); +#1983=LINE('',#12389,#2884); +#1984=LINE('',#12391,#2885); +#1985=LINE('',#12393,#2886); +#1986=LINE('',#12394,#2887); +#1987=LINE('',#12397,#2888); +#1988=LINE('',#12399,#2889); +#1989=LINE('',#12400,#2890); +#1990=LINE('',#12402,#2891); +#1991=LINE('',#12403,#2892); +#1992=LINE('',#12407,#2893); +#1993=LINE('',#12409,#2894); +#1994=LINE('',#12411,#2895); +#1995=LINE('',#12412,#2896); +#1996=LINE('',#12415,#2897); +#1997=LINE('',#12417,#2898); +#1998=LINE('',#12418,#2899); +#1999=LINE('',#12420,#2900); +#2000=LINE('',#12421,#2901); +#2001=LINE('',#12425,#2902); +#2002=LINE('',#12427,#2903); +#2003=LINE('',#12429,#2904); +#2004=LINE('',#12430,#2905); +#2005=LINE('',#12436,#2906); +#2006=LINE('',#12439,#2907); +#2007=LINE('',#12441,#2908); +#2008=LINE('',#12442,#2909); +#2009=LINE('',#12448,#2910); +#2010=LINE('',#12451,#2911); +#2011=LINE('',#12453,#2912); +#2012=LINE('',#12454,#2913); +#2013=LINE('',#12460,#2914); +#2014=LINE('',#12463,#2915); +#2015=LINE('',#12465,#2916); +#2016=LINE('',#12466,#2917); +#2017=LINE('',#12476,#2918); +#2018=LINE('',#12478,#2919); +#2019=LINE('',#12480,#2920); +#2020=LINE('',#12481,#2921); +#2021=LINE('',#12484,#2922); +#2022=LINE('',#12486,#2923); +#2023=LINE('',#12487,#2924); +#2024=LINE('',#12490,#2925); +#2025=LINE('',#12492,#2926); +#2026=LINE('',#12493,#2927); +#2027=LINE('',#12496,#2928); +#2028=LINE('',#12498,#2929); +#2029=LINE('',#12499,#2930); +#2030=LINE('',#12502,#2931); +#2031=LINE('',#12504,#2932); +#2032=LINE('',#12505,#2933); +#2033=LINE('',#12508,#2934); +#2034=LINE('',#12510,#2935); +#2035=LINE('',#12511,#2936); +#2036=LINE('',#12514,#2937); +#2037=LINE('',#12516,#2938); +#2038=LINE('',#12517,#2939); +#2039=LINE('',#12520,#2940); +#2040=LINE('',#12522,#2941); +#2041=LINE('',#12523,#2942); +#2042=LINE('',#12526,#2943); +#2043=LINE('',#12528,#2944); +#2044=LINE('',#12529,#2945); +#2045=LINE('',#12532,#2946); +#2046=LINE('',#12534,#2947); +#2047=LINE('',#12535,#2948); +#2048=LINE('',#12538,#2949); +#2049=LINE('',#12540,#2950); +#2050=LINE('',#12541,#2951); +#2051=LINE('',#12543,#2952); +#2052=LINE('',#12544,#2953); +#2053=LINE('',#12549,#2954); +#2054=LINE('',#12551,#2955); +#2055=LINE('',#12553,#2956); +#2056=LINE('',#12554,#2957); +#2057=LINE('',#12557,#2958); +#2058=LINE('',#12559,#2959); +#2059=LINE('',#12560,#2960); +#2060=LINE('',#12563,#2961); +#2061=LINE('',#12565,#2962); +#2062=LINE('',#12566,#2963); +#2063=LINE('',#12569,#2964); +#2064=LINE('',#12571,#2965); +#2065=LINE('',#12572,#2966); +#2066=LINE('',#12575,#2967); +#2067=LINE('',#12577,#2968); +#2068=LINE('',#12578,#2969); +#2069=LINE('',#12581,#2970); +#2070=LINE('',#12583,#2971); +#2071=LINE('',#12584,#2972); +#2072=LINE('',#12587,#2973); +#2073=LINE('',#12589,#2974); +#2074=LINE('',#12590,#2975); +#2075=LINE('',#12593,#2976); +#2076=LINE('',#12595,#2977); +#2077=LINE('',#12596,#2978); +#2078=LINE('',#12599,#2979); +#2079=LINE('',#12601,#2980); +#2080=LINE('',#12602,#2981); +#2081=LINE('',#12605,#2982); +#2082=LINE('',#12607,#2983); +#2083=LINE('',#12608,#2984); +#2084=LINE('',#12611,#2985); +#2085=LINE('',#12613,#2986); +#2086=LINE('',#12614,#2987); +#2087=LINE('',#12616,#2988); +#2088=LINE('',#12617,#2989); +#2089=LINE('',#12622,#2990); +#2090=LINE('',#12624,#2991); +#2091=LINE('',#12626,#2992); +#2092=LINE('',#12628,#2993); +#2093=LINE('',#12630,#2994); +#2094=LINE('',#12631,#2995); +#2095=LINE('',#12634,#2996); +#2096=LINE('',#12636,#2997); +#2097=LINE('',#12638,#2998); +#2098=LINE('',#12639,#2999); +#2099=LINE('',#12642,#3000); +#2100=LINE('',#12644,#3001); +#2101=LINE('',#12646,#3002); +#2102=LINE('',#12647,#3003); +#2103=LINE('',#12649,#3004); +#2104=LINE('',#12650,#3005); +#2105=LINE('',#12654,#3006); +#2106=LINE('',#12656,#3007); +#2107=LINE('',#12658,#3008); +#2108=LINE('',#12659,#3009); +#2109=LINE('',#12661,#3010); +#2110=LINE('',#12662,#3011); +#2111=LINE('',#12664,#3012); +#2112=LINE('',#12666,#3013); +#2113=LINE('',#12673,#3014); +#2114=LINE('',#12677,#3015); +#2115=LINE('',#12694,#3016); +#2116=LINE('',#12697,#3017); +#2117=LINE('',#12700,#3018); +#2118=LINE('',#12702,#3019); +#2119=LINE('',#12705,#3020); +#2120=LINE('',#12708,#3021); +#2121=LINE('',#12712,#3022); +#2122=LINE('',#12716,#3023); +#2123=LINE('',#12733,#3024); +#2124=LINE('',#12737,#3025); +#2125=LINE('',#12740,#3026); +#2126=LINE('',#12743,#3027); +#2127=LINE('',#12757,#3028); +#2128=LINE('',#12760,#3029); +#2129=LINE('',#12763,#3030); +#2130=LINE('',#12766,#3031); +#2131=LINE('',#12767,#3032); +#2132=LINE('',#12769,#3033); +#2133=LINE('',#12772,#3034); +#2134=LINE('',#12787,#3035); +#2135=LINE('',#12790,#3036); +#2136=LINE('',#12792,#3037); +#2137=LINE('',#12794,#3038); +#2138=LINE('',#12795,#3039); +#2139=LINE('',#12796,#3040); +#2140=LINE('',#12799,#3041); +#2141=VECTOR('',#8334,10.); +#2142=VECTOR('',#8335,10.); +#2143=VECTOR('',#8336,10.); +#2144=VECTOR('',#8339,10.); +#2145=VECTOR('',#8340,10.); +#2146=VECTOR('',#8341,10.); +#2147=VECTOR('',#8344,10.); +#2148=VECTOR('',#8345,10.); +#2149=VECTOR('',#8348,10.); +#2150=VECTOR('',#8349,10.); +#2151=VECTOR('',#8352,10.); +#2152=VECTOR('',#8353,10.); +#2153=VECTOR('',#8356,10.); +#2154=VECTOR('',#8357,10.); +#2155=VECTOR('',#8360,10.); +#2156=VECTOR('',#8363,10.); +#2157=VECTOR('',#8364,10.); +#2158=VECTOR('',#8365,10.); +#2159=VECTOR('',#8368,10.); +#2160=VECTOR('',#8369,10.); +#2161=VECTOR('',#8370,10.); +#2162=VECTOR('',#8371,10.); +#2163=VECTOR('',#8380,10.); +#2164=VECTOR('',#8381,10.); +#2165=VECTOR('',#8382,10.); +#2166=VECTOR('',#8391,10.); +#2167=VECTOR('',#8392,10.); +#2168=VECTOR('',#8397,10.); +#2169=VECTOR('',#8402,10.); +#2170=VECTOR('',#8403,10.); +#2171=VECTOR('',#8404,10.); +#2172=VECTOR('',#8415,10.); +#2173=VECTOR('',#8416,10.); +#2174=VECTOR('',#8417,10.); +#2175=VECTOR('',#8420,10.); +#2176=VECTOR('',#8421,10.); +#2177=VECTOR('',#8422,10.); +#2178=VECTOR('',#8425,10.); +#2179=VECTOR('',#8426,10.); +#2180=VECTOR('',#8429,10.); +#2181=VECTOR('',#8430,10.); +#2182=VECTOR('',#8431,10.); +#2183=VECTOR('',#8434,10.); +#2184=VECTOR('',#8435,10.); +#2185=VECTOR('',#8438,10.); +#2186=VECTOR('',#8439,10.); +#2187=VECTOR('',#8442,10.); +#2188=VECTOR('',#8443,10.); +#2189=VECTOR('',#8446,10.); +#2190=VECTOR('',#8447,10.); +#2191=VECTOR('',#8450,10.); +#2192=VECTOR('',#8451,10.); +#2193=VECTOR('',#8454,10.); +#2194=VECTOR('',#8455,10.); +#2195=VECTOR('',#8456,10.); +#2196=VECTOR('',#8459,10.); +#2197=VECTOR('',#8460,10.); +#2198=VECTOR('',#8463,10.); +#2199=VECTOR('',#8464,10.); +#2200=VECTOR('',#8465,10.); +#2201=VECTOR('',#8468,10.); +#2202=VECTOR('',#8469,10.); +#2203=VECTOR('',#8472,10.); +#2204=VECTOR('',#8473,10.); +#2205=VECTOR('',#8474,10.); +#2206=VECTOR('',#8477,10.); +#2207=VECTOR('',#8478,10.); +#2208=VECTOR('',#8479,10.); +#2209=VECTOR('',#8482,10.); +#2210=VECTOR('',#8483,10.); +#2211=VECTOR('',#8484,10.); +#2212=VECTOR('',#8485,10.); +#2213=VECTOR('',#8488,10.); +#2214=VECTOR('',#8489,10.); +#2215=VECTOR('',#8490,10.); +#2216=VECTOR('',#8493,10.); +#2217=VECTOR('',#8494,10.); +#2218=VECTOR('',#8495,10.); +#2219=VECTOR('',#8496,10.); +#2220=VECTOR('',#8499,10.); +#2221=VECTOR('',#8500,10.); +#2222=VECTOR('',#8501,10.); +#2223=VECTOR('',#8502,10.); +#2224=VECTOR('',#8505,10.); +#2225=VECTOR('',#8506,10.); +#2226=VECTOR('',#8507,10.); +#2227=VECTOR('',#8510,10.); +#2228=VECTOR('',#8511,10.); +#2229=VECTOR('',#8512,10.); +#2230=VECTOR('',#8513,10.); +#2231=VECTOR('',#8516,10.); +#2232=VECTOR('',#8517,10.); +#2233=VECTOR('',#8518,10.); +#2234=VECTOR('',#8521,10.); +#2235=VECTOR('',#8522,10.); +#2236=VECTOR('',#8523,10.); +#2237=VECTOR('',#8524,10.); +#2238=VECTOR('',#8527,10.); +#2239=VECTOR('',#8528,10.); +#2240=VECTOR('',#8529,10.); +#2241=VECTOR('',#8532,10.); +#2242=VECTOR('',#8533,10.); +#2243=VECTOR('',#8534,10.); +#2244=VECTOR('',#8535,10.); +#2245=VECTOR('',#8538,10.); +#2246=VECTOR('',#8539,10.); +#2247=VECTOR('',#8540,10.); +#2248=VECTOR('',#8543,10.); +#2249=VECTOR('',#8544,10.); +#2250=VECTOR('',#8545,10.); +#2251=VECTOR('',#8546,10.); +#2252=VECTOR('',#8547,10.); +#2253=VECTOR('',#8548,10.); +#2254=VECTOR('',#8549,10.); +#2255=VECTOR('',#8550,10.); +#2256=VECTOR('',#8551,10.); +#2257=VECTOR('',#8552,10.); +#2258=VECTOR('',#8553,10.); +#2259=VECTOR('',#8554,10.); +#2260=VECTOR('',#8555,10.); +#2261=VECTOR('',#8556,10.); +#2262=VECTOR('',#8557,10.); +#2263=VECTOR('',#8558,10.); +#2264=VECTOR('',#8559,10.); +#2265=VECTOR('',#8560,10.); +#2266=VECTOR('',#8561,10.); +#2267=VECTOR('',#8562,10.); +#2268=VECTOR('',#8563,10.); +#2269=VECTOR('',#8564,10.); +#2270=VECTOR('',#8565,10.); +#2271=VECTOR('',#8566,10.); +#2272=VECTOR('',#8567,10.); +#2273=VECTOR('',#8568,10.); +#2274=VECTOR('',#8569,10.); +#2275=VECTOR('',#8572,10.); +#2276=VECTOR('',#8573,10.); +#2277=VECTOR('',#8574,10.); +#2278=VECTOR('',#8575,10.); +#2279=VECTOR('',#8578,10.); +#2280=VECTOR('',#8581,10.); +#2281=VECTOR('',#8582,10.); +#2282=VECTOR('',#8587,10.); +#2283=VECTOR('',#8588,10.); +#2284=VECTOR('',#8595,10.); +#2285=VECTOR('',#8596,10.); +#2286=VECTOR('',#8599,10.); +#2287=VECTOR('',#8600,10.); +#2288=VECTOR('',#8603,10.); +#2289=VECTOR('',#8606,10.); +#2290=VECTOR('',#8609,10.); +#2291=VECTOR('',#8612,10.); +#2292=VECTOR('',#8615,10.); +#2293=VECTOR('',#8616,10.); +#2294=VECTOR('',#8617,10.); +#2295=VECTOR('',#8618,10.); +#2296=VECTOR('',#8621,10.); +#2297=VECTOR('',#8622,10.); +#2298=VECTOR('',#8625,10.); +#2299=VECTOR('',#8628,10.); +#2300=VECTOR('',#8631,10.); +#2301=VECTOR('',#8634,10.); +#2302=VECTOR('',#8635,10.); +#2303=VECTOR('',#8638,10.); +#2304=VECTOR('',#8639,10.); +#2305=VECTOR('',#8642,10.); +#2306=VECTOR('',#8643,10.); +#2307=VECTOR('',#8646,10.); +#2308=VECTOR('',#8647,10.); +#2309=VECTOR('',#8652,10.); +#2310=VECTOR('',#8653,10.); +#2311=VECTOR('',#8656,10.); +#2312=VECTOR('',#8657,10.); +#2313=VECTOR('',#8660,10.); +#2314=VECTOR('',#8661,10.); +#2315=VECTOR('',#8678,10.); +#2316=VECTOR('',#8679,10.); +#2317=VECTOR('',#8680,10.); +#2318=VECTOR('',#8681,10.); +#2319=VECTOR('',#8682,10.); +#2320=VECTOR('',#8683,10.); +#2321=VECTOR('',#8686,10.); +#2322=VECTOR('',#8687,10.); +#2323=VECTOR('',#8688,10.); +#2324=VECTOR('',#8689,10.); +#2325=VECTOR('',#8692,10.); +#2326=VECTOR('',#8693,10.); +#2327=VECTOR('',#8694,10.); +#2328=VECTOR('',#8695,10.); +#2329=VECTOR('',#8698,10.); +#2330=VECTOR('',#8699,10.); +#2331=VECTOR('',#8700,10.); +#2332=VECTOR('',#8701,10.); +#2333=VECTOR('',#8704,10.); +#2334=VECTOR('',#8705,10.); +#2335=VECTOR('',#8706,10.); +#2336=VECTOR('',#8709,10.); +#2337=VECTOR('',#8710,10.); +#2338=VECTOR('',#8711,10.); +#2339=VECTOR('',#8712,10.); +#2340=VECTOR('',#8715,10.); +#2341=VECTOR('',#8716,10.); +#2342=VECTOR('',#8717,10.); +#2343=VECTOR('',#8718,10.); +#2344=VECTOR('',#8721,10.); +#2345=VECTOR('',#8722,10.); +#2346=VECTOR('',#8723,10.); +#2347=VECTOR('',#8724,10.); +#2348=VECTOR('',#8727,10.); +#2349=VECTOR('',#8728,10.); +#2350=VECTOR('',#8729,10.); +#2351=VECTOR('',#8732,10.); +#2352=VECTOR('',#8733,10.); +#2353=VECTOR('',#8734,10.); +#2354=VECTOR('',#8735,10.); +#2355=VECTOR('',#8736,10.); +#2356=VECTOR('',#8737,10.); +#2357=VECTOR('',#8740,10.); +#2358=VECTOR('',#8741,10.); +#2359=VECTOR('',#8742,10.); +#2360=VECTOR('',#8743,10.); +#2361=VECTOR('',#8746,10.); +#2362=VECTOR('',#8747,10.); +#2363=VECTOR('',#8748,10.); +#2364=VECTOR('',#8751,10.); +#2365=VECTOR('',#8752,10.); +#2366=VECTOR('',#8753,10.); +#2367=VECTOR('',#8754,10.); +#2368=VECTOR('',#8757,10.); +#2369=VECTOR('',#8758,10.); +#2370=VECTOR('',#8759,10.); +#2371=VECTOR('',#8762,10.); +#2372=VECTOR('',#8763,10.); +#2373=VECTOR('',#8764,10.); +#2374=VECTOR('',#8765,10.); +#2375=VECTOR('',#8768,10.); +#2376=VECTOR('',#8769,10.); +#2377=VECTOR('',#8770,10.); +#2378=VECTOR('',#8773,10.); +#2379=VECTOR('',#8774,10.); +#2380=VECTOR('',#8775,10.); +#2381=VECTOR('',#8778,10.); +#2382=VECTOR('',#8779,10.); +#2383=VECTOR('',#8782,10.); +#2384=VECTOR('',#8785,10.); +#2385=VECTOR('',#8786,10.); +#2386=VECTOR('',#8787,10.); +#2387=VECTOR('',#8788,10.); +#2388=VECTOR('',#8791,10.); +#2389=VECTOR('',#8794,10.); +#2390=VECTOR('',#8795,10.); +#2391=VECTOR('',#8798,10.); +#2392=VECTOR('',#8799,10.); +#2393=VECTOR('',#8802,10.); +#2394=VECTOR('',#8805,10.); +#2395=VECTOR('',#8806,10.); +#2396=VECTOR('',#8809,10.); +#2397=VECTOR('',#8810,10.); +#2398=VECTOR('',#8813,10.); +#2399=VECTOR('',#8814,10.); +#2400=VECTOR('',#8815,10.); +#2401=VECTOR('',#8818,10.); +#2402=VECTOR('',#8821,10.); +#2403=VECTOR('',#8822,10.); +#2404=VECTOR('',#8825,10.); +#2405=VECTOR('',#8826,10.); +#2406=VECTOR('',#8829,10.); +#2407=VECTOR('',#8832,10.); +#2408=VECTOR('',#8833,10.); +#2409=VECTOR('',#8834,10.); +#2410=VECTOR('',#8837,10.); +#2411=VECTOR('',#8838,10.); +#2412=VECTOR('',#8841,10.); +#2413=VECTOR('',#8842,10.); +#2414=VECTOR('',#8845,10.); +#2415=VECTOR('',#8846,10.); +#2416=VECTOR('',#8849,10.); +#2417=VECTOR('',#8850,10.); +#2418=VECTOR('',#8853,10.); +#2419=VECTOR('',#8854,10.); +#2420=VECTOR('',#8855,10.); +#2421=VECTOR('',#8858,10.); +#2422=VECTOR('',#8861,10.); +#2423=VECTOR('',#8874,10.); +#2424=VECTOR('',#8883,10.); +#2425=VECTOR('',#8886,10.); +#2426=VECTOR('',#8889,10.); +#2427=VECTOR('',#8890,10.); +#2428=VECTOR('',#8895,10.); +#2429=VECTOR('',#8898,10.); +#2430=VECTOR('',#8899,10.); +#2431=VECTOR('',#8904,10.); +#2432=VECTOR('',#8907,10.); +#2433=VECTOR('',#8908,10.); +#2434=VECTOR('',#8913,10.); +#2435=VECTOR('',#8916,10.); +#2436=VECTOR('',#8927,10.); +#2437=VECTOR('',#8942,10.); +#2438=VECTOR('',#8945,10.); +#2439=VECTOR('',#8948,10.); +#2440=VECTOR('',#8951,10.); +#2441=VECTOR('',#8954,10.); +#2442=VECTOR('',#8973,10.); +#2443=VECTOR('',#8976,10.); +#2444=VECTOR('',#8979,10.); +#2445=VECTOR('',#8982,10.); +#2446=VECTOR('',#8985,10.); +#2447=VECTOR('',#8988,10.); +#2448=VECTOR('',#8991,10.); +#2449=VECTOR('',#8994,10.); +#2450=VECTOR('',#9005,10.); +#2451=VECTOR('',#9006,10.); +#2452=VECTOR('',#9007,10.); +#2453=VECTOR('',#9010,10.); +#2454=VECTOR('',#9011,10.); +#2455=VECTOR('',#9012,10.); +#2456=VECTOR('',#9015,10.); +#2457=VECTOR('',#9016,10.); +#2458=VECTOR('',#9019,10.); +#2459=VECTOR('',#9024,10.); +#2460=VECTOR('',#9025,10.); +#2461=VECTOR('',#9026,10.); +#2462=VECTOR('',#9029,10.); +#2463=VECTOR('',#9030,10.); +#2464=VECTOR('',#9031,10.); +#2465=VECTOR('',#9034,10.); +#2466=VECTOR('',#9035,10.); +#2467=VECTOR('',#9038,10.); +#2468=VECTOR('',#9043,10.); +#2469=VECTOR('',#9044,10.); +#2470=VECTOR('',#9045,10.); +#2471=VECTOR('',#9048,10.); +#2472=VECTOR('',#9049,10.); +#2473=VECTOR('',#9050,10.); +#2474=VECTOR('',#9053,10.); +#2475=VECTOR('',#9054,10.); +#2476=VECTOR('',#9057,10.); +#2477=VECTOR('',#9062,10.); +#2478=VECTOR('',#9063,10.); +#2479=VECTOR('',#9064,10.); +#2480=VECTOR('',#9067,10.); +#2481=VECTOR('',#9068,10.); +#2482=VECTOR('',#9069,10.); +#2483=VECTOR('',#9072,10.); +#2484=VECTOR('',#9073,10.); +#2485=VECTOR('',#9076,10.); +#2486=VECTOR('',#9081,10.); +#2487=VECTOR('',#9082,10.); +#2488=VECTOR('',#9085,10.); +#2489=VECTOR('',#9086,10.); +#2490=VECTOR('',#9087,10.); +#2491=VECTOR('',#9088,10.); +#2492=VECTOR('',#9091,10.); +#2493=VECTOR('',#9092,10.); +#2494=VECTOR('',#9095,10.); +#2495=VECTOR('',#9098,10.); +#2496=VECTOR('',#9099,10.); +#2497=VECTOR('',#9102,10.); +#2498=VECTOR('',#9103,10.); +#2499=VECTOR('',#9106,10.); +#2500=VECTOR('',#9107,10.); +#2501=VECTOR('',#9108,10.); +#2502=VECTOR('',#9109,10.); +#2503=VECTOR('',#9112,10.); +#2504=VECTOR('',#9113,10.); +#2505=VECTOR('',#9114,10.); +#2506=VECTOR('',#9117,10.); +#2507=VECTOR('',#9118,10.); +#2508=VECTOR('',#9121,10.); +#2509=VECTOR('',#9122,10.); +#2510=VECTOR('',#9123,10.); +#2511=VECTOR('',#9126,10.); +#2512=VECTOR('',#9127,10.); +#2513=VECTOR('',#9130,10.); +#2514=VECTOR('',#9131,10.); +#2515=VECTOR('',#9132,10.); +#2516=VECTOR('',#9135,10.); +#2517=VECTOR('',#9136,10.); +#2518=VECTOR('',#9139,10.); +#2519=VECTOR('',#9140,10.); +#2520=VECTOR('',#9143,10.); +#2521=VECTOR('',#9144,10.); +#2522=VECTOR('',#9147,10.); +#2523=VECTOR('',#9148,10.); +#2524=VECTOR('',#9149,10.); +#2525=VECTOR('',#9152,10.); +#2526=VECTOR('',#9153,10.); +#2527=VECTOR('',#9156,10.); +#2528=VECTOR('',#9157,10.); +#2529=VECTOR('',#9158,10.); +#2530=VECTOR('',#9161,10.); +#2531=VECTOR('',#9176,10.); +#2532=VECTOR('',#9177,10.); +#2533=VECTOR('',#9178,10.); +#2534=VECTOR('',#9181,10.); +#2535=VECTOR('',#9182,10.); +#2536=VECTOR('',#9183,10.); +#2537=VECTOR('',#9186,10.); +#2538=VECTOR('',#9187,10.); +#2539=VECTOR('',#9190,10.); +#2540=VECTOR('',#9195,10.); +#2541=VECTOR('',#9196,10.); +#2542=VECTOR('',#9197,10.); +#2543=VECTOR('',#9200,10.); +#2544=VECTOR('',#9201,10.); +#2545=VECTOR('',#9202,10.); +#2546=VECTOR('',#9203,10.); +#2547=VECTOR('',#9206,10.); +#2548=VECTOR('',#9207,10.); +#2549=VECTOR('',#9208,10.); +#2550=VECTOR('',#9211,10.); +#2551=VECTOR('',#9212,10.); +#2552=VECTOR('',#9215,10.); +#2553=VECTOR('',#9216,10.); +#2554=VECTOR('',#9219,10.); +#2555=VECTOR('',#9220,10.); +#2556=VECTOR('',#9223,10.); +#2557=VECTOR('',#9224,10.); +#2558=VECTOR('',#9227,10.); +#2559=VECTOR('',#9228,10.); +#2560=VECTOR('',#9231,10.); +#2561=VECTOR('',#9238,10.); +#2562=VECTOR('',#9239,10.); +#2563=VECTOR('',#9240,10.); +#2564=VECTOR('',#9243,10.); +#2565=VECTOR('',#9244,10.); +#2566=VECTOR('',#9245,10.); +#2567=VECTOR('',#9248,10.); +#2568=VECTOR('',#9249,10.); +#2569=VECTOR('',#9252,10.); +#2570=VECTOR('',#9257,10.); +#2571=VECTOR('',#9258,10.); +#2572=VECTOR('',#9259,10.); +#2573=VECTOR('',#9262,10.); +#2574=VECTOR('',#9263,10.); +#2575=VECTOR('',#9264,10.); +#2576=VECTOR('',#9267,10.); +#2577=VECTOR('',#9268,10.); +#2578=VECTOR('',#9271,10.); +#2579=VECTOR('',#9276,10.); +#2580=VECTOR('',#9277,10.); +#2581=VECTOR('',#9278,10.); +#2582=VECTOR('',#9281,10.); +#2583=VECTOR('',#9282,10.); +#2584=VECTOR('',#9283,10.); +#2585=VECTOR('',#9286,10.); +#2586=VECTOR('',#9287,10.); +#2587=VECTOR('',#9290,10.); +#2588=VECTOR('',#9295,10.); +#2589=VECTOR('',#9296,10.); +#2590=VECTOR('',#9297,10.); +#2591=VECTOR('',#9298,10.); +#2592=VECTOR('',#9301,10.); +#2593=VECTOR('',#9302,10.); +#2594=VECTOR('',#9303,10.); +#2595=VECTOR('',#9306,10.); +#2596=VECTOR('',#9307,10.); +#2597=VECTOR('',#9310,10.); +#2598=VECTOR('',#9311,10.); +#2599=VECTOR('',#9314,10.); +#2600=VECTOR('',#9319,10.); +#2601=VECTOR('',#9320,10.); +#2602=VECTOR('',#9321,10.); +#2603=VECTOR('',#9324,10.); +#2604=VECTOR('',#9325,10.); +#2605=VECTOR('',#9326,10.); +#2606=VECTOR('',#9329,10.); +#2607=VECTOR('',#9330,10.); +#2608=VECTOR('',#9333,10.); +#2609=VECTOR('',#9338,10.); +#2610=VECTOR('',#9339,10.); +#2611=VECTOR('',#9342,10.); +#2612=VECTOR('',#9343,10.); +#2613=VECTOR('',#9344,10.); +#2614=VECTOR('',#9347,10.); +#2615=VECTOR('',#9350,10.); +#2616=VECTOR('',#9351,10.); +#2617=VECTOR('',#9354,10.); +#2618=VECTOR('',#9355,10.); +#2619=VECTOR('',#9358,10.); +#2620=VECTOR('',#9359,10.); +#2621=VECTOR('',#9362,10.); +#2622=VECTOR('',#9363,10.); +#2623=VECTOR('',#9364,10.); +#2624=VECTOR('',#9367,10.); +#2625=VECTOR('',#9368,10.); +#2626=VECTOR('',#9369,10.); +#2627=VECTOR('',#9372,10.); +#2628=VECTOR('',#9373,10.); +#2629=VECTOR('',#9376,10.); +#2630=VECTOR('',#9377,10.); +#2631=VECTOR('',#9378,10.); +#2632=VECTOR('',#9381,10.); +#2633=VECTOR('',#9382,10.); +#2634=VECTOR('',#9383,10.); +#2635=VECTOR('',#9386,10.); +#2636=VECTOR('',#9387,10.); +#2637=VECTOR('',#9390,10.); +#2638=VECTOR('',#9391,10.); +#2639=VECTOR('',#9394,10.); +#2640=VECTOR('',#9395,10.); +#2641=VECTOR('',#9396,10.); +#2642=VECTOR('',#9399,10.); +#2643=VECTOR('',#9400,10.); +#2644=VECTOR('',#9403,10.); +#2645=VECTOR('',#9404,10.); +#2646=VECTOR('',#9405,10.); +#2647=VECTOR('',#9408,10.); +#2648=VECTOR('',#9409,10.); +#2649=VECTOR('',#9412,10.); +#2650=VECTOR('',#9413,10.); +#2651=VECTOR('',#9428,10.); +#2652=VECTOR('',#9429,10.); +#2653=VECTOR('',#9430,10.); +#2654=VECTOR('',#9433,10.); +#2655=VECTOR('',#9434,10.); +#2656=VECTOR('',#9435,10.); +#2657=VECTOR('',#9438,10.); +#2658=VECTOR('',#9439,10.); +#2659=VECTOR('',#9442,10.); +#2660=VECTOR('',#9447,10.); +#2661=VECTOR('',#9448,10.); +#2662=VECTOR('',#9449,10.); +#2663=VECTOR('',#9452,10.); +#2664=VECTOR('',#9453,10.); +#2665=VECTOR('',#9454,10.); +#2666=VECTOR('',#9457,10.); +#2667=VECTOR('',#9458,10.); +#2668=VECTOR('',#9461,10.); +#2669=VECTOR('',#9466,10.); +#2670=VECTOR('',#9467,10.); +#2671=VECTOR('',#9468,10.); +#2672=VECTOR('',#9469,10.); +#2673=VECTOR('',#9472,10.); +#2674=VECTOR('',#9473,10.); +#2675=VECTOR('',#9474,10.); +#2676=VECTOR('',#9477,10.); +#2677=VECTOR('',#9478,10.); +#2678=VECTOR('',#9481,10.); +#2679=VECTOR('',#9482,10.); +#2680=VECTOR('',#9485,10.); +#2681=VECTOR('',#9490,10.); +#2682=VECTOR('',#9491,10.); +#2683=VECTOR('',#9492,10.); +#2684=VECTOR('',#9493,10.); +#2685=VECTOR('',#9494,10.); +#2686=VECTOR('',#9497,10.); +#2687=VECTOR('',#9498,10.); +#2688=VECTOR('',#9499,10.); +#2689=VECTOR('',#9500,10.); +#2690=VECTOR('',#9503,10.); +#2691=VECTOR('',#9504,10.); +#2692=VECTOR('',#9505,10.); +#2693=VECTOR('',#9508,10.); +#2694=VECTOR('',#9509,10.); +#2695=VECTOR('',#9512,10.); +#2696=VECTOR('',#9513,10.); +#2697=VECTOR('',#9516,10.); +#2698=VECTOR('',#9517,10.); +#2699=VECTOR('',#9520,10.); +#2700=VECTOR('',#9521,10.); +#2701=VECTOR('',#9524,10.); +#2702=VECTOR('',#9525,10.); +#2703=VECTOR('',#9528,10.); +#2704=VECTOR('',#9529,10.); +#2705=VECTOR('',#9532,10.); +#2706=VECTOR('',#9533,10.); +#2707=VECTOR('',#9536,10.); +#2708=VECTOR('',#9543,10.); +#2709=VECTOR('',#9544,10.); +#2710=VECTOR('',#9545,10.); +#2711=VECTOR('',#9546,10.); +#2712=VECTOR('',#9549,10.); +#2713=VECTOR('',#9550,10.); +#2714=VECTOR('',#9551,10.); +#2715=VECTOR('',#9554,10.); +#2716=VECTOR('',#9555,10.); +#2717=VECTOR('',#9556,10.); +#2718=VECTOR('',#9559,10.); +#2719=VECTOR('',#9560,10.); +#2720=VECTOR('',#9563,10.); +#2721=VECTOR('',#9564,10.); +#2722=VECTOR('',#9565,10.); +#2723=VECTOR('',#9566,10.); +#2724=VECTOR('',#9569,10.); +#2725=VECTOR('',#9570,10.); +#2726=VECTOR('',#9571,10.); +#2727=VECTOR('',#9574,10.); +#2728=VECTOR('',#9575,10.); +#2729=VECTOR('',#9576,10.); +#2730=VECTOR('',#9579,10.); +#2731=VECTOR('',#9580,10.); +#2732=VECTOR('',#9583,10.); +#2733=VECTOR('',#9584,10.); +#2734=VECTOR('',#9585,10.); +#2735=VECTOR('',#9586,10.); +#2736=VECTOR('',#9593,10.); +#2737=VECTOR('',#9596,10.); +#2738=VECTOR('',#9597,10.); +#2739=VECTOR('',#9598,10.); +#2740=VECTOR('',#9605,10.); +#2741=VECTOR('',#9608,10.); +#2742=VECTOR('',#9609,10.); +#2743=VECTOR('',#9610,10.); +#2744=VECTOR('',#9617,10.); +#2745=VECTOR('',#9620,10.); +#2746=VECTOR('',#9621,10.); +#2747=VECTOR('',#9622,10.); +#2748=VECTOR('',#9629,10.); +#2749=VECTOR('',#9632,10.); +#2750=VECTOR('',#9633,10.); +#2751=VECTOR('',#9634,10.); +#2752=VECTOR('',#9641,10.); +#2753=VECTOR('',#9644,10.); +#2754=VECTOR('',#9645,10.); +#2755=VECTOR('',#9646,10.); +#2756=VECTOR('',#9653,10.); +#2757=VECTOR('',#9656,10.); +#2758=VECTOR('',#9657,10.); +#2759=VECTOR('',#9658,10.); +#2760=VECTOR('',#9665,10.); +#2761=VECTOR('',#9670,10.); +#2762=VECTOR('',#9673,10.); +#2763=VECTOR('',#9676,10.); +#2764=VECTOR('',#9677,10.); +#2765=VECTOR('',#9678,10.); +#2766=VECTOR('',#9685,10.); +#2767=VECTOR('',#9688,10.); +#2768=VECTOR('',#9689,10.); +#2769=VECTOR('',#9690,10.); +#2770=VECTOR('',#9697,10.); +#2771=VECTOR('',#9700,10.); +#2772=VECTOR('',#9701,10.); +#2773=VECTOR('',#9702,10.); +#2774=VECTOR('',#9709,10.); +#2775=VECTOR('',#9712,10.); +#2776=VECTOR('',#9713,10.); +#2777=VECTOR('',#9714,10.); +#2778=VECTOR('',#9723,10.); +#2779=VECTOR('',#9726,10.); +#2780=VECTOR('',#9729,10.); +#2781=VECTOR('',#9732,10.); +#2782=VECTOR('',#9735,10.); +#2783=VECTOR('',#9742,10.); +#2784=VECTOR('',#9743,10.); +#2785=VECTOR('',#9744,10.); +#2786=VECTOR('',#9749,10.); +#2787=VECTOR('',#9752,10.); +#2788=VECTOR('',#9753,10.); +#2789=VECTOR('',#9758,10.); +#2790=VECTOR('',#9761,10.); +#2791=VECTOR('',#9762,10.); +#2792=VECTOR('',#9767,10.); +#2793=VECTOR('',#9770,10.); +#2794=VECTOR('',#9771,10.); +#2795=VECTOR('',#9772,10.); +#2796=VECTOR('',#9775,10.); +#2797=VECTOR('',#9776,10.); +#2798=VECTOR('',#9777,10.); +#2799=VECTOR('',#9784,10.); +#2800=VECTOR('',#9787,10.); +#2801=VECTOR('',#9788,10.); +#2802=VECTOR('',#9789,10.); +#2803=VECTOR('',#9796,10.); +#2804=VECTOR('',#9799,10.); +#2805=VECTOR('',#9800,10.); +#2806=VECTOR('',#9801,10.); +#2807=VECTOR('',#9808,10.); +#2808=VECTOR('',#9811,10.); +#2809=VECTOR('',#9812,10.); +#2810=VECTOR('',#9813,10.); +#2811=VECTOR('',#9816,10.); +#2812=VECTOR('',#9817,10.); +#2813=VECTOR('',#9818,10.); +#2814=VECTOR('',#9821,10.); +#2815=VECTOR('',#9822,10.); +#2816=VECTOR('',#9823,10.); +#2817=VECTOR('',#9826,10.); +#2818=VECTOR('',#9827,10.); +#2819=VECTOR('',#9828,10.); +#2820=VECTOR('',#9831,10.); +#2821=VECTOR('',#9832,10.); +#2822=VECTOR('',#9833,10.); +#2823=VECTOR('',#9836,10.); +#2824=VECTOR('',#9837,10.); +#2825=VECTOR('',#9838,10.); +#2826=VECTOR('',#9841,10.); +#2827=VECTOR('',#9842,10.); +#2828=VECTOR('',#9843,10.); +#2829=VECTOR('',#9850,10.); +#2830=VECTOR('',#9853,10.); +#2831=VECTOR('',#9854,10.); +#2832=VECTOR('',#9855,10.); +#2833=VECTOR('',#9862,10.); +#2834=VECTOR('',#9865,10.); +#2835=VECTOR('',#9866,10.); +#2836=VECTOR('',#9867,10.); +#2837=VECTOR('',#9874,10.); +#2838=VECTOR('',#9877,10.); +#2839=VECTOR('',#9878,10.); +#2840=VECTOR('',#9879,10.); +#2841=VECTOR('',#9882,10.); +#2842=VECTOR('',#9883,10.); +#2843=VECTOR('',#9884,10.); +#2844=VECTOR('',#9895,10.); +#2845=VECTOR('',#9896,10.); +#2846=VECTOR('',#9897,10.); +#2847=VECTOR('',#9898,10.); +#2848=VECTOR('',#9901,10.); +#2849=VECTOR('',#9902,10.); +#2850=VECTOR('',#9903,10.); +#2851=VECTOR('',#9906,10.); +#2852=VECTOR('',#9907,10.); +#2853=VECTOR('',#9908,10.); +#2854=VECTOR('',#9909,10.); +#2855=VECTOR('',#9912,10.); +#2856=VECTOR('',#9913,10.); +#2857=VECTOR('',#9916,10.); +#2858=VECTOR('',#9917,10.); +#2859=VECTOR('',#9918,10.); +#2860=VECTOR('',#9921,10.); +#2861=VECTOR('',#9924,10.); +#2862=VECTOR('',#9925,10.); +#2863=VECTOR('',#9926,10.); +#2864=VECTOR('',#9927,10.); +#2865=VECTOR('',#9930,10.); +#2866=VECTOR('',#9931,10.); +#2867=VECTOR('',#9932,10.); +#2868=VECTOR('',#9935,10.); +#2869=VECTOR('',#9936,10.); +#2870=VECTOR('',#9937,10.); +#2871=VECTOR('',#9938,10.); +#2872=VECTOR('',#9941,10.); +#2873=VECTOR('',#9942,10.); +#2874=VECTOR('',#9945,10.); +#2875=VECTOR('',#9946,10.); +#2876=VECTOR('',#9947,10.); +#2877=VECTOR('',#9950,10.); +#2878=VECTOR('',#9955,1.45); +#2879=VECTOR('',#9960,1.7); +#2880=VECTOR('',#9967,10.); +#2881=VECTOR('',#9972,0.95); +#2882=VECTOR('',#9979,10.); +#2883=VECTOR('',#9984,0.95); +#2884=VECTOR('',#9991,10.); +#2885=VECTOR('',#9992,10.); +#2886=VECTOR('',#9993,10.); +#2887=VECTOR('',#9994,10.); +#2888=VECTOR('',#9997,10.); +#2889=VECTOR('',#9998,10.); +#2890=VECTOR('',#9999,10.); +#2891=VECTOR('',#10002,10.); +#2892=VECTOR('',#10003,10.); +#2893=VECTOR('',#10006,10.); +#2894=VECTOR('',#10007,10.); +#2895=VECTOR('',#10008,10.); +#2896=VECTOR('',#10009,10.); +#2897=VECTOR('',#10012,10.); +#2898=VECTOR('',#10013,10.); +#2899=VECTOR('',#10014,10.); +#2900=VECTOR('',#10017,10.); +#2901=VECTOR('',#10018,10.); +#2902=VECTOR('',#10021,10.); +#2903=VECTOR('',#10022,10.); +#2904=VECTOR('',#10023,10.); +#2905=VECTOR('',#10024,10.); +#2906=VECTOR('',#10031,10.); +#2907=VECTOR('',#10034,10.); +#2908=VECTOR('',#10035,10.); +#2909=VECTOR('',#10036,10.); +#2910=VECTOR('',#10043,10.); +#2911=VECTOR('',#10046,10.); +#2912=VECTOR('',#10047,10.); +#2913=VECTOR('',#10048,10.); +#2914=VECTOR('',#10055,10.); +#2915=VECTOR('',#10058,10.); +#2916=VECTOR('',#10059,10.); +#2917=VECTOR('',#10060,10.); +#2918=VECTOR('',#10075,10.); +#2919=VECTOR('',#10076,10.); +#2920=VECTOR('',#10077,10.); +#2921=VECTOR('',#10078,10.); +#2922=VECTOR('',#10081,10.); +#2923=VECTOR('',#10082,10.); +#2924=VECTOR('',#10083,10.); +#2925=VECTOR('',#10086,10.); +#2926=VECTOR('',#10087,10.); +#2927=VECTOR('',#10088,10.); +#2928=VECTOR('',#10091,10.); +#2929=VECTOR('',#10092,10.); +#2930=VECTOR('',#10093,10.); +#2931=VECTOR('',#10096,10.); +#2932=VECTOR('',#10097,10.); +#2933=VECTOR('',#10098,10.); +#2934=VECTOR('',#10101,10.); +#2935=VECTOR('',#10102,10.); +#2936=VECTOR('',#10103,10.); +#2937=VECTOR('',#10106,10.); +#2938=VECTOR('',#10107,10.); +#2939=VECTOR('',#10108,10.); +#2940=VECTOR('',#10111,10.); +#2941=VECTOR('',#10112,10.); +#2942=VECTOR('',#10113,10.); +#2943=VECTOR('',#10116,10.); +#2944=VECTOR('',#10117,10.); +#2945=VECTOR('',#10118,10.); +#2946=VECTOR('',#10121,10.); +#2947=VECTOR('',#10122,10.); +#2948=VECTOR('',#10123,10.); +#2949=VECTOR('',#10126,10.); +#2950=VECTOR('',#10127,10.); +#2951=VECTOR('',#10128,10.); +#2952=VECTOR('',#10131,10.); +#2953=VECTOR('',#10132,10.); +#2954=VECTOR('',#10137,10.); +#2955=VECTOR('',#10138,10.); +#2956=VECTOR('',#10139,10.); +#2957=VECTOR('',#10140,10.); +#2958=VECTOR('',#10143,10.); +#2959=VECTOR('',#10144,10.); +#2960=VECTOR('',#10145,10.); +#2961=VECTOR('',#10148,10.); +#2962=VECTOR('',#10149,10.); +#2963=VECTOR('',#10150,10.); +#2964=VECTOR('',#10153,10.); +#2965=VECTOR('',#10154,10.); +#2966=VECTOR('',#10155,10.); +#2967=VECTOR('',#10158,10.); +#2968=VECTOR('',#10159,10.); +#2969=VECTOR('',#10160,10.); +#2970=VECTOR('',#10163,10.); +#2971=VECTOR('',#10164,10.); +#2972=VECTOR('',#10165,10.); +#2973=VECTOR('',#10168,10.); +#2974=VECTOR('',#10169,10.); +#2975=VECTOR('',#10170,10.); +#2976=VECTOR('',#10173,10.); +#2977=VECTOR('',#10174,10.); +#2978=VECTOR('',#10175,10.); +#2979=VECTOR('',#10178,10.); +#2980=VECTOR('',#10179,10.); +#2981=VECTOR('',#10180,10.); +#2982=VECTOR('',#10183,10.); +#2983=VECTOR('',#10184,10.); +#2984=VECTOR('',#10185,10.); +#2985=VECTOR('',#10188,10.); +#2986=VECTOR('',#10189,10.); +#2987=VECTOR('',#10190,10.); +#2988=VECTOR('',#10193,10.); +#2989=VECTOR('',#10194,10.); +#2990=VECTOR('',#10199,10.); +#2991=VECTOR('',#10200,10.); +#2992=VECTOR('',#10201,10.); +#2993=VECTOR('',#10202,10.); +#2994=VECTOR('',#10203,10.); +#2995=VECTOR('',#10204,10.); +#2996=VECTOR('',#10207,10.); +#2997=VECTOR('',#10208,10.); +#2998=VECTOR('',#10209,10.); +#2999=VECTOR('',#10210,10.); +#3000=VECTOR('',#10213,10.); +#3001=VECTOR('',#10214,10.); +#3002=VECTOR('',#10215,10.); +#3003=VECTOR('',#10216,10.); +#3004=VECTOR('',#10219,10.); +#3005=VECTOR('',#10220,10.); +#3006=VECTOR('',#10223,10.); +#3007=VECTOR('',#10224,10.); +#3008=VECTOR('',#10225,10.); +#3009=VECTOR('',#10226,10.); +#3010=VECTOR('',#10229,10.); +#3011=VECTOR('',#10230,10.); +#3012=VECTOR('',#10233,10.); +#3013=VECTOR('',#10236,10.); +#3014=VECTOR('',#10243,10.); +#3015=VECTOR('',#10246,10.); +#3016=VECTOR('',#10251,10.2468476532726); +#3017=VECTOR('',#10254,10.2468476532726); +#3018=VECTOR('',#10257,10.); +#3019=VECTOR('',#10258,10.); +#3020=VECTOR('',#10261,10.); +#3021=VECTOR('',#10264,10.); +#3022=VECTOR('',#10267,10.); +#3023=VECTOR('',#10270,10.); +#3024=VECTOR('',#10275,10.); +#3025=VECTOR('',#10280,10.2468476532726); +#3026=VECTOR('',#10283,10.2468476532726); +#3027=VECTOR('',#10286,10.); +#3028=VECTOR('',#10291,10.); +#3029=VECTOR('',#10294,10.); +#3030=VECTOR('',#10297,10.); +#3031=VECTOR('',#10300,10.); +#3032=VECTOR('',#10301,10.); +#3033=VECTOR('',#10304,10.); +#3034=VECTOR('',#10307,10.); +#3035=VECTOR('',#10314,10.); +#3036=VECTOR('',#10317,10.); +#3037=VECTOR('',#10320,10.); +#3038=VECTOR('',#10323,10.); +#3039=VECTOR('',#10324,10.); +#3040=VECTOR('',#10325,10.); +#3041=VECTOR('',#10330,10.); +#3042=VERTEX_POINT('',#10342); +#3043=VERTEX_POINT('',#10343); +#3044=VERTEX_POINT('',#10345); +#3045=VERTEX_POINT('',#10349); +#3046=VERTEX_POINT('',#10350); +#3047=VERTEX_POINT('',#10353); +#3048=VERTEX_POINT('',#10360); +#3049=VERTEX_POINT('',#10365); +#3050=VERTEX_POINT('',#10369); +#3051=VERTEX_POINT('',#10370); +#3052=VERTEX_POINT('',#10378); +#3053=VERTEX_POINT('',#10383); +#3054=VERTEX_POINT('',#10387); +#3055=VERTEX_POINT('',#10388); +#3056=VERTEX_POINT('',#10396); +#3057=VERTEX_POINT('',#10403); +#3058=VERTEX_POINT('',#10404); +#3059=VERTEX_POINT('',#10406); +#3060=VERTEX_POINT('',#10410); +#3061=VERTEX_POINT('',#10411); +#3062=VERTEX_POINT('',#10413); +#3063=VERTEX_POINT('',#10415); +#3064=VERTEX_POINT('',#10419); +#3065=VERTEX_POINT('',#10421); +#3066=VERTEX_POINT('',#10427); +#3067=VERTEX_POINT('',#10429); +#3068=VERTEX_POINT('',#10433); +#3069=VERTEX_POINT('',#10435); +#3070=VERTEX_POINT('',#10441); +#3071=VERTEX_POINT('',#10443); +#3072=VERTEX_POINT('',#10449); +#3073=VERTEX_POINT('',#10451); +#3074=VERTEX_POINT('',#10455); +#3075=VERTEX_POINT('',#10457); +#3076=VERTEX_POINT('',#10465); +#3077=VERTEX_POINT('',#10466); +#3078=VERTEX_POINT('',#10471); +#3079=VERTEX_POINT('',#10482); +#3080=VERTEX_POINT('',#10489); +#3081=VERTEX_POINT('',#10493); +#3082=VERTEX_POINT('',#10504); +#3083=VERTEX_POINT('',#10511); +#3084=VERTEX_POINT('',#10515); +#3085=VERTEX_POINT('',#10519); +#3086=VERTEX_POINT('',#10526); +#3087=VERTEX_POINT('',#10546); +#3088=VERTEX_POINT('',#10566); +#3089=VERTEX_POINT('',#10570); +#3090=VERTEX_POINT('',#10581); +#3091=VERTEX_POINT('',#10604); +#3092=VERTEX_POINT('',#10605); +#3093=VERTEX_POINT('',#10607); +#3094=VERTEX_POINT('',#10609); +#3095=VERTEX_POINT('',#10613); +#3096=VERTEX_POINT('',#10615); +#3097=VERTEX_POINT('',#10619); +#3098=VERTEX_POINT('',#10620); +#3099=VERTEX_POINT('',#10622); +#3100=VERTEX_POINT('',#10624); +#3101=VERTEX_POINT('',#10628); +#3102=VERTEX_POINT('',#10629); +#3103=VERTEX_POINT('',#10631); +#3104=VERTEX_POINT('',#10633); +#3105=VERTEX_POINT('',#10637); +#3106=VERTEX_POINT('',#10639); +#3107=VERTEX_POINT('',#10643); +#3108=VERTEX_POINT('',#10644); +#3109=VERTEX_POINT('',#10646); +#3110=VERTEX_POINT('',#10648); +#3111=VERTEX_POINT('',#10652); +#3112=VERTEX_POINT('',#10654); +#3113=VERTEX_POINT('',#10658); +#3114=VERTEX_POINT('',#10659); +#3115=VERTEX_POINT('',#10661); +#3116=VERTEX_POINT('',#10663); +#3117=VERTEX_POINT('',#10667); +#3118=VERTEX_POINT('',#10669); +#3119=VERTEX_POINT('',#10673); +#3120=VERTEX_POINT('',#10674); +#3121=VERTEX_POINT('',#10676); +#3122=VERTEX_POINT('',#10678); +#3123=VERTEX_POINT('',#10682); +#3124=VERTEX_POINT('',#10684); +#3125=VERTEX_POINT('',#10688); +#3126=VERTEX_POINT('',#10690); +#3127=VERTEX_POINT('',#10692); +#3128=VERTEX_POINT('',#10694); +#3129=VERTEX_POINT('',#10696); +#3130=VERTEX_POINT('',#10698); +#3131=VERTEX_POINT('',#10701); +#3132=VERTEX_POINT('',#10703); +#3133=VERTEX_POINT('',#10705); +#3134=VERTEX_POINT('',#10707); +#3135=VERTEX_POINT('',#10709); +#3136=VERTEX_POINT('',#10713); +#3137=VERTEX_POINT('',#10715); +#3138=VERTEX_POINT('',#10717); +#3139=VERTEX_POINT('',#10719); +#3140=VERTEX_POINT('',#10721); +#3141=VERTEX_POINT('',#10724); +#3142=VERTEX_POINT('',#10726); +#3143=VERTEX_POINT('',#10728); +#3144=VERTEX_POINT('',#10730); +#3145=VERTEX_POINT('',#10732); +#3146=VERTEX_POINT('',#10737); +#3147=VERTEX_POINT('',#10738); +#3148=VERTEX_POINT('',#10740); +#3149=VERTEX_POINT('',#10742); +#3150=VERTEX_POINT('',#10746); +#3151=VERTEX_POINT('',#10748); +#3152=VERTEX_POINT('',#10750); +#3153=VERTEX_POINT('',#10752); +#3154=VERTEX_POINT('',#10754); +#3155=VERTEX_POINT('',#10756); +#3156=VERTEX_POINT('',#10758); +#3157=VERTEX_POINT('',#10760); +#3158=VERTEX_POINT('',#10763); +#3159=VERTEX_POINT('',#10765); +#3160=VERTEX_POINT('',#10767); +#3161=VERTEX_POINT('',#10769); +#3162=VERTEX_POINT('',#10772); +#3163=VERTEX_POINT('',#10774); +#3164=VERTEX_POINT('',#10776); +#3165=VERTEX_POINT('',#10778); +#3166=VERTEX_POINT('',#10780); +#3167=VERTEX_POINT('',#10782); +#3168=VERTEX_POINT('',#10784); +#3169=VERTEX_POINT('',#10786); +#3170=VERTEX_POINT('',#10788); +#3171=VERTEX_POINT('',#10792); +#3172=VERTEX_POINT('',#10793); +#3173=VERTEX_POINT('',#10795); +#3174=VERTEX_POINT('',#10797); +#3175=VERTEX_POINT('',#10801); +#3176=VERTEX_POINT('',#10803); +#3177=VERTEX_POINT('',#10805); +#3178=VERTEX_POINT('',#10807); +#3179=VERTEX_POINT('',#10809); +#3180=VERTEX_POINT('',#10811); +#3181=VERTEX_POINT('',#10813); +#3182=VERTEX_POINT('',#10815); +#3183=VERTEX_POINT('',#10817); +#3184=VERTEX_POINT('',#10819); +#3185=VERTEX_POINT('',#10822); +#3186=VERTEX_POINT('',#10824); +#3187=VERTEX_POINT('',#10826); +#3188=VERTEX_POINT('',#10828); +#3189=VERTEX_POINT('',#10830); +#3190=VERTEX_POINT('',#10832); +#3191=VERTEX_POINT('',#10834); +#3192=VERTEX_POINT('',#10836); +#3193=VERTEX_POINT('',#10838); +#3194=VERTEX_POINT('',#10841); +#3195=VERTEX_POINT('',#10843); +#3196=VERTEX_POINT('',#10845); +#3197=VERTEX_POINT('',#10847); +#3198=VERTEX_POINT('',#10849); +#3199=VERTEX_POINT('',#10851); +#3200=VERTEX_POINT('',#10853); +#3201=VERTEX_POINT('',#10855); +#3202=VERTEX_POINT('',#10857); +#3203=VERTEX_POINT('',#10867); +#3204=VERTEX_POINT('',#10869); +#3205=VERTEX_POINT('',#10871); +#3206=VERTEX_POINT('',#10874); +#3207=VERTEX_POINT('',#10878); +#3208=VERTEX_POINT('',#10881); +#3209=VERTEX_POINT('',#10885); +#3210=VERTEX_POINT('',#10887); +#3211=VERTEX_POINT('',#10892); +#3212=VERTEX_POINT('',#10894); +#3213=VERTEX_POINT('',#10899); +#3214=VERTEX_POINT('',#10901); +#3215=VERTEX_POINT('',#10905); +#3216=VERTEX_POINT('',#10908); +#3217=VERTEX_POINT('',#10912); +#3218=VERTEX_POINT('',#10914); +#3219=VERTEX_POINT('',#10919); +#3220=VERTEX_POINT('',#10921); +#3221=VERTEX_POINT('',#10926); +#3222=VERTEX_POINT('',#10928); +#3223=VERTEX_POINT('',#10932); +#3224=VERTEX_POINT('',#10934); +#3225=VERTEX_POINT('',#10937); +#3226=VERTEX_POINT('',#10939); +#3227=VERTEX_POINT('',#10943); +#3228=VERTEX_POINT('',#10946); +#3229=VERTEX_POINT('',#10950); +#3230=VERTEX_POINT('',#10952); +#3231=VERTEX_POINT('',#10956); +#3232=VERTEX_POINT('',#10959); +#3233=VERTEX_POINT('',#10963); +#3234=VERTEX_POINT('',#10965); +#3235=VERTEX_POINT('',#10969); +#3236=VERTEX_POINT('',#10972); +#3237=VERTEX_POINT('',#10976); +#3238=VERTEX_POINT('',#10978); +#3239=VERTEX_POINT('',#10982); +#3240=VERTEX_POINT('',#10984); +#3241=VERTEX_POINT('',#10988); +#3242=VERTEX_POINT('',#10994); +#3243=VERTEX_POINT('',#10995); +#3244=VERTEX_POINT('',#10997); +#3245=VERTEX_POINT('',#11004); +#3246=VERTEX_POINT('',#11008); +#3247=VERTEX_POINT('',#11014); +#3248=VERTEX_POINT('',#11018); +#3249=VERTEX_POINT('',#11022); +#3250=VERTEX_POINT('',#11024); +#3251=VERTEX_POINT('',#11030); +#3252=VERTEX_POINT('',#11034); +#3253=VERTEX_POINT('',#11040); +#3254=VERTEX_POINT('',#11045); +#3255=VERTEX_POINT('',#11049); +#3256=VERTEX_POINT('',#11053); +#3257=VERTEX_POINT('',#11057); +#3258=VERTEX_POINT('',#11061); +#3259=VERTEX_POINT('',#11080); +#3260=VERTEX_POINT('',#11082); +#3261=VERTEX_POINT('',#11086); +#3262=VERTEX_POINT('',#11090); +#3263=VERTEX_POINT('',#11094); +#3264=VERTEX_POINT('',#11098); +#3265=VERTEX_POINT('',#11102); +#3266=VERTEX_POINT('',#11106); +#3267=VERTEX_POINT('',#11124); +#3268=VERTEX_POINT('',#11126); +#3269=VERTEX_POINT('',#11128); +#3270=VERTEX_POINT('',#11130); +#3271=VERTEX_POINT('',#11132); +#3272=VERTEX_POINT('',#11134); +#3273=VERTEX_POINT('',#11136); +#3274=VERTEX_POINT('',#11138); +#3275=VERTEX_POINT('',#11170); +#3276=VERTEX_POINT('',#11171); +#3277=VERTEX_POINT('',#11173); +#3278=VERTEX_POINT('',#11177); +#3279=VERTEX_POINT('',#11179); +#3280=VERTEX_POINT('',#11183); +#3281=VERTEX_POINT('',#11190); +#3282=VERTEX_POINT('',#11191); +#3283=VERTEX_POINT('',#11193); +#3284=VERTEX_POINT('',#11197); +#3285=VERTEX_POINT('',#11198); +#3286=VERTEX_POINT('',#11203); +#3287=VERTEX_POINT('',#11210); +#3288=VERTEX_POINT('',#11211); +#3289=VERTEX_POINT('',#11213); +#3290=VERTEX_POINT('',#11217); +#3291=VERTEX_POINT('',#11219); +#3292=VERTEX_POINT('',#11223); +#3293=VERTEX_POINT('',#11230); +#3294=VERTEX_POINT('',#11231); +#3295=VERTEX_POINT('',#11233); +#3296=VERTEX_POINT('',#11237); +#3297=VERTEX_POINT('',#11238); +#3298=VERTEX_POINT('',#11243); +#3299=VERTEX_POINT('',#11250); +#3300=VERTEX_POINT('',#11251); +#3301=VERTEX_POINT('',#11253); +#3302=VERTEX_POINT('',#11260); +#3303=VERTEX_POINT('',#11261); +#3304=VERTEX_POINT('',#11266); +#3305=VERTEX_POINT('',#11268); +#3306=VERTEX_POINT('',#11270); +#3307=VERTEX_POINT('',#11274); +#3308=VERTEX_POINT('',#11275); +#3309=VERTEX_POINT('',#11283); +#3310=VERTEX_POINT('',#11284); +#3311=VERTEX_POINT('',#11291); +#3312=VERTEX_POINT('',#11303); +#3313=VERTEX_POINT('',#11307); +#3314=VERTEX_POINT('',#11309); +#3315=VERTEX_POINT('',#11320); +#3316=VERTEX_POINT('',#11327); +#3317=VERTEX_POINT('',#11344); +#3318=VERTEX_POINT('',#11358); +#3319=VERTEX_POINT('',#11365); +#3320=VERTEX_POINT('',#11369); +#3321=VERTEX_POINT('',#11380); +#3322=VERTEX_POINT('',#11387); +#3323=VERTEX_POINT('',#11391); +#3324=VERTEX_POINT('',#11395); +#3325=VERTEX_POINT('',#11399); +#3326=VERTEX_POINT('',#11416); +#3327=VERTEX_POINT('',#11444); +#3328=VERTEX_POINT('',#11445); +#3329=VERTEX_POINT('',#11447); +#3330=VERTEX_POINT('',#11451); +#3331=VERTEX_POINT('',#11452); +#3332=VERTEX_POINT('',#11457); +#3333=VERTEX_POINT('',#11464); +#3334=VERTEX_POINT('',#11465); +#3335=VERTEX_POINT('',#11467); +#3336=VERTEX_POINT('',#11471); +#3337=VERTEX_POINT('',#11473); +#3338=VERTEX_POINT('',#11475); +#3339=VERTEX_POINT('',#11479); +#3340=VERTEX_POINT('',#11481); +#3341=VERTEX_POINT('',#11485); +#3342=VERTEX_POINT('',#11489); +#3343=VERTEX_POINT('',#11493); +#3344=VERTEX_POINT('',#11497); +#3345=VERTEX_POINT('',#11508); +#3346=VERTEX_POINT('',#11509); +#3347=VERTEX_POINT('',#11511); +#3348=VERTEX_POINT('',#11515); +#3349=VERTEX_POINT('',#11516); +#3350=VERTEX_POINT('',#11521); +#3351=VERTEX_POINT('',#11528); +#3352=VERTEX_POINT('',#11529); +#3353=VERTEX_POINT('',#11531); +#3354=VERTEX_POINT('',#11535); +#3355=VERTEX_POINT('',#11537); +#3356=VERTEX_POINT('',#11541); +#3357=VERTEX_POINT('',#11548); +#3358=VERTEX_POINT('',#11549); +#3359=VERTEX_POINT('',#11551); +#3360=VERTEX_POINT('',#11555); +#3361=VERTEX_POINT('',#11556); +#3362=VERTEX_POINT('',#11561); +#3363=VERTEX_POINT('',#11568); +#3364=VERTEX_POINT('',#11569); +#3365=VERTEX_POINT('',#11571); +#3366=VERTEX_POINT('',#11573); +#3367=VERTEX_POINT('',#11577); +#3368=VERTEX_POINT('',#11579); +#3369=VERTEX_POINT('',#11583); +#3370=VERTEX_POINT('',#11587); +#3371=VERTEX_POINT('',#11594); +#3372=VERTEX_POINT('',#11595); +#3373=VERTEX_POINT('',#11597); +#3374=VERTEX_POINT('',#11601); +#3375=VERTEX_POINT('',#11602); +#3376=VERTEX_POINT('',#11607); +#3377=VERTEX_POINT('',#11614); +#3378=VERTEX_POINT('',#11615); +#3379=VERTEX_POINT('',#11620); +#3380=VERTEX_POINT('',#11624); +#3381=VERTEX_POINT('',#11625); +#3382=VERTEX_POINT('',#11627); +#3383=VERTEX_POINT('',#11629); +#3384=VERTEX_POINT('',#11636); +#3385=VERTEX_POINT('',#11643); +#3386=VERTEX_POINT('',#11644); +#3387=VERTEX_POINT('',#11652); +#3388=VERTEX_POINT('',#11657); +#3389=VERTEX_POINT('',#11661); +#3390=VERTEX_POINT('',#11669); +#3391=VERTEX_POINT('',#11671); +#3392=VERTEX_POINT('',#11675); +#3393=VERTEX_POINT('',#11686); +#3394=VERTEX_POINT('',#11693); +#3395=VERTEX_POINT('',#11697); +#3396=VERTEX_POINT('',#11708); +#3397=VERTEX_POINT('',#11715); +#3398=VERTEX_POINT('',#11726); +#3399=VERTEX_POINT('',#11733); +#3400=VERTEX_POINT('',#11737); +#3401=VERTEX_POINT('',#11757); +#3402=VERTEX_POINT('',#11777); +#3403=VERTEX_POINT('',#11802); +#3404=VERTEX_POINT('',#11803); +#3405=VERTEX_POINT('',#11805); +#3406=VERTEX_POINT('',#11809); +#3407=VERTEX_POINT('',#11810); +#3408=VERTEX_POINT('',#11815); +#3409=VERTEX_POINT('',#11822); +#3410=VERTEX_POINT('',#11823); +#3411=VERTEX_POINT('',#11825); +#3412=VERTEX_POINT('',#11829); +#3413=VERTEX_POINT('',#11831); +#3414=VERTEX_POINT('',#11835); +#3415=VERTEX_POINT('',#11842); +#3416=VERTEX_POINT('',#11843); +#3417=VERTEX_POINT('',#11845); +#3418=VERTEX_POINT('',#11847); +#3419=VERTEX_POINT('',#11851); +#3420=VERTEX_POINT('',#11852); +#3421=VERTEX_POINT('',#11857); +#3422=VERTEX_POINT('',#11861); +#3423=VERTEX_POINT('',#11868); +#3424=VERTEX_POINT('',#11869); +#3425=VERTEX_POINT('',#11871); +#3426=VERTEX_POINT('',#11873); +#3427=VERTEX_POINT('',#11875); +#3428=VERTEX_POINT('',#11879); +#3429=VERTEX_POINT('',#11881); +#3430=VERTEX_POINT('',#11883); +#3431=VERTEX_POINT('',#11887); +#3432=VERTEX_POINT('',#11889); +#3433=VERTEX_POINT('',#11893); +#3434=VERTEX_POINT('',#11897); +#3435=VERTEX_POINT('',#11901); +#3436=VERTEX_POINT('',#11905); +#3437=VERTEX_POINT('',#11909); +#3438=VERTEX_POINT('',#11916); +#3439=VERTEX_POINT('',#11924); +#3440=VERTEX_POINT('',#11925); +#3441=VERTEX_POINT('',#11927); +#3442=VERTEX_POINT('',#11929); +#3443=VERTEX_POINT('',#11933); +#3444=VERTEX_POINT('',#11935); +#3445=VERTEX_POINT('',#11939); +#3446=VERTEX_POINT('',#11941); +#3447=VERTEX_POINT('',#11948); +#3448=VERTEX_POINT('',#11949); +#3449=VERTEX_POINT('',#11951); +#3450=VERTEX_POINT('',#11953); +#3451=VERTEX_POINT('',#11957); +#3452=VERTEX_POINT('',#11959); +#3453=VERTEX_POINT('',#11963); +#3454=VERTEX_POINT('',#11965); +#3455=VERTEX_POINT('',#11972); +#3456=VERTEX_POINT('',#11973); +#3457=VERTEX_POINT('',#11975); +#3458=VERTEX_POINT('',#11977); +#3459=VERTEX_POINT('',#11981); +#3460=VERTEX_POINT('',#11983); +#3461=VERTEX_POINT('',#11987); +#3462=VERTEX_POINT('',#11989); +#3463=VERTEX_POINT('',#11993); +#3464=VERTEX_POINT('',#11995); +#3465=VERTEX_POINT('',#11999); +#3466=VERTEX_POINT('',#12001); +#3467=VERTEX_POINT('',#12005); +#3468=VERTEX_POINT('',#12007); +#3469=VERTEX_POINT('',#12011); +#3470=VERTEX_POINT('',#12013); +#3471=VERTEX_POINT('',#12017); +#3472=VERTEX_POINT('',#12019); +#3473=VERTEX_POINT('',#12023); +#3474=VERTEX_POINT('',#12025); +#3475=VERTEX_POINT('',#12029); +#3476=VERTEX_POINT('',#12031); +#3477=VERTEX_POINT('',#12035); +#3478=VERTEX_POINT('',#12037); +#3479=VERTEX_POINT('',#12041); +#3480=VERTEX_POINT('',#12043); +#3481=VERTEX_POINT('',#12047); +#3482=VERTEX_POINT('',#12049); +#3483=VERTEX_POINT('',#12053); +#3484=VERTEX_POINT('',#12055); +#3485=VERTEX_POINT('',#12059); +#3486=VERTEX_POINT('',#12060); +#3487=VERTEX_POINT('',#12062); +#3488=VERTEX_POINT('',#12064); +#3489=VERTEX_POINT('',#12068); +#3490=VERTEX_POINT('',#12070); +#3491=VERTEX_POINT('',#12074); +#3492=VERTEX_POINT('',#12076); +#3493=VERTEX_POINT('',#12080); +#3494=VERTEX_POINT('',#12082); +#3495=VERTEX_POINT('',#12086); +#3496=VERTEX_POINT('',#12088); +#3497=VERTEX_POINT('',#12092); +#3498=VERTEX_POINT('',#12094); +#3499=VERTEX_POINT('',#12098); +#3500=VERTEX_POINT('',#12100); +#3501=VERTEX_POINT('',#12104); +#3502=VERTEX_POINT('',#12106); +#3503=VERTEX_POINT('',#12113); +#3504=VERTEX_POINT('',#12115); +#3505=VERTEX_POINT('',#12117); +#3506=VERTEX_POINT('',#12119); +#3507=VERTEX_POINT('',#12121); +#3508=VERTEX_POINT('',#12123); +#3509=VERTEX_POINT('',#12125); +#3510=VERTEX_POINT('',#12127); +#3511=VERTEX_POINT('',#12133); +#3512=VERTEX_POINT('',#12134); +#3513=VERTEX_POINT('',#12139); +#3514=VERTEX_POINT('',#12143); +#3515=VERTEX_POINT('',#12147); +#3516=VERTEX_POINT('',#12151); +#3517=VERTEX_POINT('',#12155); +#3518=VERTEX_POINT('',#12159); +#3519=VERTEX_POINT('',#12161); +#3520=VERTEX_POINT('',#12165); +#3521=VERTEX_POINT('',#12167); +#3522=VERTEX_POINT('',#12171); +#3523=VERTEX_POINT('',#12173); +#3524=VERTEX_POINT('',#12177); +#3525=VERTEX_POINT('',#12179); +#3526=VERTEX_POINT('',#12183); +#3527=VERTEX_POINT('',#12185); +#3528=VERTEX_POINT('',#12189); +#3529=VERTEX_POINT('',#12191); +#3530=VERTEX_POINT('',#12195); +#3531=VERTEX_POINT('',#12197); +#3532=VERTEX_POINT('',#12201); +#3533=VERTEX_POINT('',#12203); +#3534=VERTEX_POINT('',#12207); +#3535=VERTEX_POINT('',#12209); +#3536=VERTEX_POINT('',#12213); +#3537=VERTEX_POINT('',#12215); +#3538=VERTEX_POINT('',#12219); +#3539=VERTEX_POINT('',#12221); +#3540=VERTEX_POINT('',#12225); +#3541=VERTEX_POINT('',#12227); +#3542=VERTEX_POINT('',#12231); +#3543=VERTEX_POINT('',#12233); +#3544=VERTEX_POINT('',#12237); +#3545=VERTEX_POINT('',#12239); +#3546=VERTEX_POINT('',#12243); +#3547=VERTEX_POINT('',#12245); +#3548=VERTEX_POINT('',#12249); +#3549=VERTEX_POINT('',#12251); +#3550=VERTEX_POINT('',#12255); +#3551=VERTEX_POINT('',#12257); +#3552=VERTEX_POINT('',#12261); +#3553=VERTEX_POINT('',#12263); +#3554=VERTEX_POINT('',#12267); +#3555=VERTEX_POINT('',#12269); +#3556=VERTEX_POINT('',#12273); +#3557=VERTEX_POINT('',#12275); +#3558=VERTEX_POINT('',#12279); +#3559=VERTEX_POINT('',#12288); +#3560=VERTEX_POINT('',#12289); +#3561=VERTEX_POINT('',#12291); +#3562=VERTEX_POINT('',#12293); +#3563=VERTEX_POINT('',#12297); +#3564=VERTEX_POINT('',#12299); +#3565=VERTEX_POINT('',#12303); +#3566=VERTEX_POINT('',#12305); +#3567=VERTEX_POINT('',#12307); +#3568=VERTEX_POINT('',#12311); +#3569=VERTEX_POINT('',#12315); +#3570=VERTEX_POINT('',#12317); +#3571=VERTEX_POINT('',#12323); +#3572=VERTEX_POINT('',#12324); +#3573=VERTEX_POINT('',#12326); +#3574=VERTEX_POINT('',#12328); +#3575=VERTEX_POINT('',#12332); +#3576=VERTEX_POINT('',#12334); +#3577=VERTEX_POINT('',#12338); +#3578=VERTEX_POINT('',#12340); +#3579=VERTEX_POINT('',#12342); +#3580=VERTEX_POINT('',#12346); +#3581=VERTEX_POINT('',#12350); +#3582=VERTEX_POINT('',#12352); +#3583=VERTEX_POINT('',#12358); +#3584=VERTEX_POINT('',#12360); +#3585=VERTEX_POINT('',#12364); +#3586=VERTEX_POINT('',#12371); +#3587=VERTEX_POINT('',#12373); +#3588=VERTEX_POINT('',#12380); +#3589=VERTEX_POINT('',#12382); +#3590=VERTEX_POINT('',#12387); +#3591=VERTEX_POINT('',#12388); +#3592=VERTEX_POINT('',#12390); +#3593=VERTEX_POINT('',#12392); +#3594=VERTEX_POINT('',#12396); +#3595=VERTEX_POINT('',#12398); +#3596=VERTEX_POINT('',#12405); +#3597=VERTEX_POINT('',#12406); +#3598=VERTEX_POINT('',#12408); +#3599=VERTEX_POINT('',#12410); +#3600=VERTEX_POINT('',#12414); +#3601=VERTEX_POINT('',#12416); +#3602=VERTEX_POINT('',#12423); +#3603=VERTEX_POINT('',#12424); +#3604=VERTEX_POINT('',#12426); +#3605=VERTEX_POINT('',#12428); +#3606=VERTEX_POINT('',#12432); +#3607=VERTEX_POINT('',#12434); +#3608=VERTEX_POINT('',#12438); +#3609=VERTEX_POINT('',#12440); +#3610=VERTEX_POINT('',#12444); +#3611=VERTEX_POINT('',#12446); +#3612=VERTEX_POINT('',#12450); +#3613=VERTEX_POINT('',#12452); +#3614=VERTEX_POINT('',#12456); +#3615=VERTEX_POINT('',#12458); +#3616=VERTEX_POINT('',#12462); +#3617=VERTEX_POINT('',#12464); +#3618=VERTEX_POINT('',#12474); +#3619=VERTEX_POINT('',#12475); +#3620=VERTEX_POINT('',#12477); +#3621=VERTEX_POINT('',#12479); +#3622=VERTEX_POINT('',#12483); +#3623=VERTEX_POINT('',#12485); +#3624=VERTEX_POINT('',#12489); +#3625=VERTEX_POINT('',#12491); +#3626=VERTEX_POINT('',#12495); +#3627=VERTEX_POINT('',#12497); +#3628=VERTEX_POINT('',#12501); +#3629=VERTEX_POINT('',#12503); +#3630=VERTEX_POINT('',#12507); +#3631=VERTEX_POINT('',#12509); +#3632=VERTEX_POINT('',#12513); +#3633=VERTEX_POINT('',#12515); +#3634=VERTEX_POINT('',#12519); +#3635=VERTEX_POINT('',#12521); +#3636=VERTEX_POINT('',#12525); +#3637=VERTEX_POINT('',#12527); +#3638=VERTEX_POINT('',#12531); +#3639=VERTEX_POINT('',#12533); +#3640=VERTEX_POINT('',#12537); +#3641=VERTEX_POINT('',#12539); +#3642=VERTEX_POINT('',#12547); +#3643=VERTEX_POINT('',#12548); +#3644=VERTEX_POINT('',#12550); +#3645=VERTEX_POINT('',#12552); +#3646=VERTEX_POINT('',#12556); +#3647=VERTEX_POINT('',#12558); +#3648=VERTEX_POINT('',#12562); +#3649=VERTEX_POINT('',#12564); +#3650=VERTEX_POINT('',#12568); +#3651=VERTEX_POINT('',#12570); +#3652=VERTEX_POINT('',#12574); +#3653=VERTEX_POINT('',#12576); +#3654=VERTEX_POINT('',#12580); +#3655=VERTEX_POINT('',#12582); +#3656=VERTEX_POINT('',#12586); +#3657=VERTEX_POINT('',#12588); +#3658=VERTEX_POINT('',#12592); +#3659=VERTEX_POINT('',#12594); +#3660=VERTEX_POINT('',#12598); +#3661=VERTEX_POINT('',#12600); +#3662=VERTEX_POINT('',#12604); +#3663=VERTEX_POINT('',#12606); +#3664=VERTEX_POINT('',#12610); +#3665=VERTEX_POINT('',#12612); +#3666=VERTEX_POINT('',#12620); +#3667=VERTEX_POINT('',#12621); +#3668=VERTEX_POINT('',#12623); +#3669=VERTEX_POINT('',#12625); +#3670=VERTEX_POINT('',#12627); +#3671=VERTEX_POINT('',#12629); +#3672=VERTEX_POINT('',#12633); +#3673=VERTEX_POINT('',#12635); +#3674=VERTEX_POINT('',#12637); +#3675=VERTEX_POINT('',#12641); +#3676=VERTEX_POINT('',#12643); +#3677=VERTEX_POINT('',#12645); +#3678=VERTEX_POINT('',#12652); +#3679=VERTEX_POINT('',#12653); +#3680=VERTEX_POINT('',#12655); +#3681=VERTEX_POINT('',#12657); +#3682=VERTEX_POINT('',#12669); +#3683=VERTEX_POINT('',#12670); +#3684=VERTEX_POINT('',#12672); +#3685=VERTEX_POINT('',#12674); +#3686=VERTEX_POINT('',#12676); +#3687=VERTEX_POINT('',#12691); +#3688=VERTEX_POINT('',#12693); +#3689=VERTEX_POINT('',#12695); +#3690=VERTEX_POINT('',#12699); +#3691=VERTEX_POINT('',#12701); +#3692=VERTEX_POINT('',#12703); +#3693=VERTEX_POINT('',#12707); +#3694=VERTEX_POINT('',#12709); +#3695=VERTEX_POINT('',#12711); +#3696=VERTEX_POINT('',#12713); +#3697=VERTEX_POINT('',#12715); +#3698=VERTEX_POINT('',#12719); +#3699=VERTEX_POINT('',#12732); +#3700=VERTEX_POINT('',#12736); +#3701=VERTEX_POINT('',#12738); +#3702=VERTEX_POINT('',#12742); +#3703=VERTEX_POINT('',#12744); +#3704=VERTEX_POINT('',#12746); +#3705=VERTEX_POINT('',#12755); +#3706=VERTEX_POINT('',#12759); +#3707=VERTEX_POINT('',#12761); +#3708=VERTEX_POINT('',#12765); +#3709=VERTEX_POINT('',#12771); +#3710=VERTEX_POINT('',#12773); +#3711=VERTEX_POINT('',#12775); +#3712=VERTEX_POINT('',#12786); +#3713=VERTEX_POINT('',#12788); +#3714=EDGE_CURVE('',#3042,#3043,#1240,.T.); +#3715=EDGE_CURVE('',#3043,#3044,#1241,.T.); +#3716=EDGE_CURVE('',#3044,#3042,#1242,.T.); +#3717=EDGE_CURVE('',#3045,#3046,#1243,.T.); +#3718=EDGE_CURVE('',#3046,#3042,#1244,.T.); +#3719=EDGE_CURVE('',#3042,#3047,#1245,.T.); +#3720=EDGE_CURVE('',#3047,#3045,#293,.T.); +#3721=EDGE_CURVE('',#3048,#3045,#294,.T.); +#3722=EDGE_CURVE('',#3045,#3049,#1246,.T.); +#3723=EDGE_CURVE('',#3049,#3048,#1247,.T.); +#3724=EDGE_CURVE('',#3050,#3051,#1248,.T.); +#3725=EDGE_CURVE('',#3051,#3048,#1249,.T.); +#3726=EDGE_CURVE('',#3048,#3050,#295,.T.); +#3727=EDGE_CURVE('',#3052,#3050,#296,.T.); +#3728=EDGE_CURVE('',#3050,#3053,#1250,.T.); +#3729=EDGE_CURVE('',#3053,#3052,#1251,.T.); +#3730=EDGE_CURVE('',#3054,#3055,#1252,.T.); +#3731=EDGE_CURVE('',#3055,#3052,#1253,.T.); +#3732=EDGE_CURVE('',#3052,#3054,#297,.T.); +#3733=EDGE_CURVE('',#3056,#3054,#298,.T.); +#3734=EDGE_CURVE('',#3054,#3056,#1254,.T.); +#3735=EDGE_CURVE('',#3057,#3058,#1255,.T.); +#3736=EDGE_CURVE('',#3058,#3059,#1256,.T.); +#3737=EDGE_CURVE('',#3059,#3057,#1257,.T.); +#3738=EDGE_CURVE('',#3060,#3061,#1258,.T.); +#3739=EDGE_CURVE('',#3062,#3060,#1259,.T.); +#3740=EDGE_CURVE('',#3062,#3063,#1260,.T.); +#3741=EDGE_CURVE('',#3063,#3061,#1261,.T.); +#3742=EDGE_CURVE('',#3064,#3060,#169,.T.); +#3743=EDGE_CURVE('',#3065,#3064,#299,.T.); +#3744=EDGE_CURVE('',#3062,#3065,#170,.T.); +#3745=EDGE_CURVE('',#3066,#3064,#1262,.T.); +#3746=EDGE_CURVE('',#3067,#3066,#1263,.T.); +#3747=EDGE_CURVE('',#3067,#3065,#1264,.T.); +#3748=EDGE_CURVE('',#3068,#3066,#171,.T.); +#3749=EDGE_CURVE('',#3069,#3068,#300,.T.); +#3750=EDGE_CURVE('',#3067,#3069,#172,.T.); +#3751=EDGE_CURVE('',#3070,#3068,#1265,.T.); +#3752=EDGE_CURVE('',#3071,#3070,#301,.T.); +#3753=EDGE_CURVE('',#3071,#3069,#1266,.T.); +#3754=EDGE_CURVE('',#3072,#3070,#173,.T.); +#3755=EDGE_CURVE('',#3073,#3072,#1267,.T.); +#3756=EDGE_CURVE('',#3071,#3073,#174,.T.); +#3757=EDGE_CURVE('',#3074,#3072,#1268,.T.); +#3758=EDGE_CURVE('',#3075,#3074,#1269,.T.); +#3759=EDGE_CURVE('',#3075,#3073,#1270,.T.); +#3760=EDGE_CURVE('',#3061,#3074,#175,.T.); +#3761=EDGE_CURVE('',#3075,#3063,#176,.T.); +#3762=EDGE_CURVE('',#3076,#3077,#1271,.T.); +#3763=EDGE_CURVE('',#3076,#3052,#1272,.T.); +#3764=EDGE_CURVE('',#3077,#3053,#1273,.T.); +#3765=EDGE_CURVE('',#3077,#3078,#1274,.T.); +#3766=EDGE_CURVE('',#3078,#3050,#1275,.T.); +#3767=EDGE_CURVE('',#3078,#3079,#302,.T.); +#3768=EDGE_CURVE('',#3079,#3048,#1276,.T.); +#3769=EDGE_CURVE('',#3079,#3080,#1277,.T.); +#3770=EDGE_CURVE('',#3080,#3049,#1278,.T.); +#3771=EDGE_CURVE('',#3080,#3081,#1279,.T.); +#3772=EDGE_CURVE('',#3081,#3045,#1280,.T.); +#3773=EDGE_CURVE('',#3081,#3082,#303,.T.); +#3774=EDGE_CURVE('',#3082,#3047,#1281,.T.); +#3775=EDGE_CURVE('',#3082,#3083,#1282,.T.); +#3776=EDGE_CURVE('',#3083,#3042,#1283,.T.); +#3777=EDGE_CURVE('',#3083,#3084,#1284,.T.); +#3778=EDGE_CURVE('',#3084,#3044,#1285,.T.); +#3779=EDGE_CURVE('',#3084,#3085,#1286,.T.); +#3780=EDGE_CURVE('',#3085,#3043,#1287,.T.); +#3781=EDGE_CURVE('',#3085,#3083,#1288,.T.); +#3782=EDGE_CURVE('',#3083,#3042,#1289,.T.); +#3783=EDGE_CURVE('',#3083,#3086,#1290,.T.); +#3784=EDGE_CURVE('',#3086,#3046,#1291,.T.); +#3785=EDGE_CURVE('',#3086,#3081,#1292,.T.); +#3786=EDGE_CURVE('',#3081,#3045,#1293,.T.); +#3787=EDGE_CURVE('',#3081,#3079,#304,.T.); +#3788=EDGE_CURVE('',#3079,#3048,#1294,.T.); +#3789=EDGE_CURVE('',#3079,#3087,#1295,.T.); +#3790=EDGE_CURVE('',#3087,#3051,#1296,.T.); +#3791=EDGE_CURVE('',#3087,#3078,#1297,.T.); +#3792=EDGE_CURVE('',#3078,#3050,#1298,.T.); +#3793=EDGE_CURVE('',#3078,#3076,#305,.T.); +#3794=EDGE_CURVE('',#3076,#3052,#1299,.T.); +#3795=EDGE_CURVE('',#3076,#3088,#1300,.T.); +#3796=EDGE_CURVE('',#3088,#3055,#1301,.T.); +#3797=EDGE_CURVE('',#3088,#3089,#1302,.T.); +#3798=EDGE_CURVE('',#3089,#3054,#1303,.T.); +#3799=EDGE_CURVE('',#3089,#3090,#306,.T.); +#3800=EDGE_CURVE('',#3090,#3056,#1304,.T.); +#3801=EDGE_CURVE('',#3090,#3089,#1305,.T.); +#3802=EDGE_CURVE('',#3090,#3056,#1306,.T.); +#3803=EDGE_CURVE('',#3089,#3054,#1307,.T.); +#3804=EDGE_CURVE('',#3089,#3076,#307,.T.); +#3805=EDGE_CURVE('',#3091,#3092,#1308,.T.); +#3806=EDGE_CURVE('',#3091,#3093,#1309,.T.); +#3807=EDGE_CURVE('',#3094,#3093,#1310,.T.); +#3808=EDGE_CURVE('',#3092,#3094,#1311,.T.); +#3809=EDGE_CURVE('',#3092,#3095,#1312,.T.); +#3810=EDGE_CURVE('',#3096,#3094,#1313,.T.); +#3811=EDGE_CURVE('',#3095,#3096,#1314,.T.); +#3812=EDGE_CURVE('',#3097,#3098,#1315,.T.); +#3813=EDGE_CURVE('',#3097,#3099,#1316,.T.); +#3814=EDGE_CURVE('',#3100,#3099,#1317,.T.); +#3815=EDGE_CURVE('',#3098,#3100,#1318,.T.); +#3816=EDGE_CURVE('',#3101,#3102,#1319,.T.); +#3817=EDGE_CURVE('',#3101,#3103,#1320,.T.); +#3818=EDGE_CURVE('',#3104,#3103,#1321,.T.); +#3819=EDGE_CURVE('',#3102,#3104,#1322,.T.); +#3820=EDGE_CURVE('',#3102,#3105,#1323,.T.); +#3821=EDGE_CURVE('',#3106,#3104,#1324,.T.); +#3822=EDGE_CURVE('',#3105,#3106,#1325,.T.); +#3823=EDGE_CURVE('',#3107,#3108,#1326,.T.); +#3824=EDGE_CURVE('',#3107,#3109,#1327,.T.); +#3825=EDGE_CURVE('',#3110,#3109,#1328,.T.); +#3826=EDGE_CURVE('',#3108,#3110,#1329,.T.); +#3827=EDGE_CURVE('',#3108,#3111,#1330,.T.); +#3828=EDGE_CURVE('',#3112,#3110,#1331,.T.); +#3829=EDGE_CURVE('',#3111,#3112,#1332,.T.); +#3830=EDGE_CURVE('',#3113,#3114,#1333,.T.); +#3831=EDGE_CURVE('',#3113,#3115,#1334,.T.); +#3832=EDGE_CURVE('',#3116,#3115,#1335,.T.); +#3833=EDGE_CURVE('',#3114,#3116,#1336,.T.); +#3834=EDGE_CURVE('',#3114,#3117,#1337,.T.); +#3835=EDGE_CURVE('',#3118,#3116,#1338,.T.); +#3836=EDGE_CURVE('',#3117,#3118,#1339,.T.); +#3837=EDGE_CURVE('',#3119,#3120,#1340,.T.); +#3838=EDGE_CURVE('',#3119,#3121,#1341,.T.); +#3839=EDGE_CURVE('',#3122,#3121,#1342,.T.); +#3840=EDGE_CURVE('',#3120,#3122,#1343,.T.); +#3841=EDGE_CURVE('',#3120,#3123,#1344,.T.); +#3842=EDGE_CURVE('',#3124,#3122,#1345,.T.); +#3843=EDGE_CURVE('',#3123,#3124,#1346,.T.); +#3844=EDGE_CURVE('',#3121,#3125,#1347,.T.); +#3845=EDGE_CURVE('',#3125,#3126,#1348,.T.); +#3846=EDGE_CURVE('',#3126,#3127,#1349,.T.); +#3847=EDGE_CURVE('',#3127,#3128,#1350,.T.); +#3848=EDGE_CURVE('',#3128,#3129,#1351,.T.); +#3849=EDGE_CURVE('',#3129,#3130,#1352,.T.); +#3850=EDGE_CURVE('',#3130,#3118,#1353,.T.); +#3851=EDGE_CURVE('',#3115,#3131,#1354,.T.); +#3852=EDGE_CURVE('',#3131,#3132,#1355,.T.); +#3853=EDGE_CURVE('',#3132,#3133,#1356,.T.); +#3854=EDGE_CURVE('',#3133,#3134,#1357,.T.); +#3855=EDGE_CURVE('',#3134,#3135,#1358,.T.); +#3856=EDGE_CURVE('',#3135,#3112,#1359,.T.); +#3857=EDGE_CURVE('',#3109,#3106,#1360,.T.); +#3858=EDGE_CURVE('',#3103,#3136,#1361,.T.); +#3859=EDGE_CURVE('',#3136,#3137,#1362,.T.); +#3860=EDGE_CURVE('',#3137,#3138,#1363,.T.); +#3861=EDGE_CURVE('',#3138,#3139,#1364,.T.); +#3862=EDGE_CURVE('',#3139,#3140,#1365,.T.); +#3863=EDGE_CURVE('',#3140,#3100,#1366,.T.); +#3864=EDGE_CURVE('',#3099,#3141,#1367,.T.); +#3865=EDGE_CURVE('',#3141,#3142,#1368,.T.); +#3866=EDGE_CURVE('',#3142,#3143,#1369,.T.); +#3867=EDGE_CURVE('',#3143,#3144,#1370,.T.); +#3868=EDGE_CURVE('',#3144,#3145,#1371,.T.); +#3869=EDGE_CURVE('',#3145,#3096,#1372,.T.); +#3870=EDGE_CURVE('',#3093,#3124,#1373,.T.); +#3871=EDGE_CURVE('',#3146,#3147,#1374,.T.); +#3872=EDGE_CURVE('',#3147,#3148,#1375,.T.); +#3873=EDGE_CURVE('',#3148,#3149,#1376,.T.); +#3874=EDGE_CURVE('',#3149,#3146,#1377,.T.); +#3875=EDGE_CURVE('',#3150,#3107,#1378,.T.); +#3876=EDGE_CURVE('',#3151,#3111,#177,.T.); +#3877=EDGE_CURVE('',#3151,#3152,#1379,.T.); +#3878=EDGE_CURVE('',#3152,#3153,#1380,.T.); +#3879=EDGE_CURVE('',#3154,#3153,#178,.T.); +#3880=EDGE_CURVE('',#3155,#3154,#179,.T.); +#3881=EDGE_CURVE('',#3155,#3156,#1381,.T.); +#3882=EDGE_CURVE('',#3156,#3157,#1382,.T.); +#3883=EDGE_CURVE('',#3113,#3157,#180,.T.); +#3884=EDGE_CURVE('',#3158,#3117,#181,.T.); +#3885=EDGE_CURVE('',#3159,#3158,#182,.T.); +#3886=EDGE_CURVE('',#3159,#3160,#1383,.T.); +#3887=EDGE_CURVE('',#3160,#3161,#1384,.T.); +#3888=EDGE_CURVE('',#3119,#3161,#183,.T.); +#3889=EDGE_CURVE('',#3123,#3162,#1385,.T.); +#3890=EDGE_CURVE('',#3163,#3162,#1386,.T.); +#3891=EDGE_CURVE('',#3163,#3164,#184,.T.); +#3892=EDGE_CURVE('',#3164,#3165,#1387,.T.); +#3893=EDGE_CURVE('',#3165,#3166,#185,.T.); +#3894=EDGE_CURVE('',#3167,#3166,#1388,.T.); +#3895=EDGE_CURVE('',#3167,#3168,#186,.T.); +#3896=EDGE_CURVE('',#3169,#3168,#1389,.T.); +#3897=EDGE_CURVE('',#3169,#3170,#187,.T.); +#3898=EDGE_CURVE('',#3150,#3170,#1390,.T.); +#3899=EDGE_CURVE('',#3171,#3172,#1391,.T.); +#3900=EDGE_CURVE('',#3172,#3173,#1392,.T.); +#3901=EDGE_CURVE('',#3173,#3174,#1393,.T.); +#3902=EDGE_CURVE('',#3174,#3171,#1394,.T.); +#3903=EDGE_CURVE('',#3105,#3175,#1395,.T.); +#3904=EDGE_CURVE('',#3175,#3176,#1396,.T.); +#3905=EDGE_CURVE('',#3176,#3177,#188,.T.); +#3906=EDGE_CURVE('',#3178,#3177,#1397,.T.); +#3907=EDGE_CURVE('',#3178,#3179,#189,.T.); +#3908=EDGE_CURVE('',#3179,#3180,#1398,.T.); +#3909=EDGE_CURVE('',#3180,#3181,#190,.T.); +#3910=EDGE_CURVE('',#3181,#3182,#1399,.T.); +#3911=EDGE_CURVE('',#3182,#3183,#191,.T.); +#3912=EDGE_CURVE('',#3183,#3184,#1400,.T.); +#3913=EDGE_CURVE('',#3184,#3091,#1401,.T.); +#3914=EDGE_CURVE('',#3185,#3095,#192,.T.); +#3915=EDGE_CURVE('',#3185,#3186,#1402,.T.); +#3916=EDGE_CURVE('',#3186,#3187,#1403,.T.); +#3917=EDGE_CURVE('',#3188,#3187,#193,.T.); +#3918=EDGE_CURVE('',#3188,#3189,#1404,.T.); +#3919=EDGE_CURVE('',#3189,#3190,#1405,.T.); +#3920=EDGE_CURVE('',#3191,#3190,#194,.T.); +#3921=EDGE_CURVE('',#3191,#3192,#1406,.T.); +#3922=EDGE_CURVE('',#3192,#3193,#1407,.T.); +#3923=EDGE_CURVE('',#3097,#3193,#195,.T.); +#3924=EDGE_CURVE('',#3194,#3098,#196,.T.); +#3925=EDGE_CURVE('',#3194,#3195,#1408,.T.); +#3926=EDGE_CURVE('',#3195,#3196,#1409,.T.); +#3927=EDGE_CURVE('',#3197,#3196,#197,.T.); +#3928=EDGE_CURVE('',#3197,#3198,#1410,.T.); +#3929=EDGE_CURVE('',#3198,#3199,#1411,.T.); +#3930=EDGE_CURVE('',#3200,#3199,#198,.T.); +#3931=EDGE_CURVE('',#3200,#3201,#1412,.T.); +#3932=EDGE_CURVE('',#3201,#3202,#1413,.T.); +#3933=EDGE_CURVE('',#3101,#3202,#199,.T.); +#3934=EDGE_CURVE('',#3175,#3203,#1414,.T.); +#3935=EDGE_CURVE('',#3150,#3204,#1415,.T.); +#3936=EDGE_CURVE('',#3205,#3204,#1416,.T.); +#3937=EDGE_CURVE('',#3147,#3205,#1417,.T.); +#3938=EDGE_CURVE('',#3146,#3206,#1418,.T.); +#3939=EDGE_CURVE('',#3203,#3206,#1419,.T.); +#3940=EDGE_CURVE('',#3202,#3207,#1420,.T.); +#3941=EDGE_CURVE('',#3201,#3136,#1421,.T.); +#3942=EDGE_CURVE('',#3101,#3208,#1422,.T.); +#3943=EDGE_CURVE('',#3207,#3208,#1423,.T.); +#3944=EDGE_CURVE('',#3209,#3200,#1424,.T.); +#3945=EDGE_CURVE('',#3210,#3209,#1425,.T.); +#3946=EDGE_CURVE('',#3199,#3210,#1426,.T.); +#3947=EDGE_CURVE('',#3198,#3137,#1427,.T.); +#3948=EDGE_CURVE('',#3211,#3197,#1428,.T.); +#3949=EDGE_CURVE('',#3212,#3211,#1429,.T.); +#3950=EDGE_CURVE('',#3196,#3212,#1430,.T.); +#3951=EDGE_CURVE('',#3195,#3138,#1431,.T.); +#3952=EDGE_CURVE('',#3213,#3194,#1432,.T.); +#3953=EDGE_CURVE('',#3214,#3213,#1433,.T.); +#3954=EDGE_CURVE('',#3214,#3139,#1434,.T.); +#3955=EDGE_CURVE('',#3193,#3215,#1435,.T.); +#3956=EDGE_CURVE('',#3192,#3143,#1436,.T.); +#3957=EDGE_CURVE('',#3216,#3142,#1437,.T.); +#3958=EDGE_CURVE('',#3215,#3216,#1438,.T.); +#3959=EDGE_CURVE('',#3217,#3191,#1439,.T.); +#3960=EDGE_CURVE('',#3218,#3217,#1440,.T.); +#3961=EDGE_CURVE('',#3190,#3218,#1441,.T.); +#3962=EDGE_CURVE('',#3189,#3144,#1442,.T.); +#3963=EDGE_CURVE('',#3219,#3188,#1443,.T.); +#3964=EDGE_CURVE('',#3220,#3219,#1444,.T.); +#3965=EDGE_CURVE('',#3187,#3220,#1445,.T.); +#3966=EDGE_CURVE('',#3186,#3145,#1446,.T.); +#3967=EDGE_CURVE('',#3221,#3185,#1447,.T.); +#3968=EDGE_CURVE('',#3222,#3221,#1448,.T.); +#3969=EDGE_CURVE('',#3095,#3222,#1449,.T.); +#3970=EDGE_CURVE('',#3184,#3223,#1450,.T.); +#3971=EDGE_CURVE('',#3224,#3223,#1451,.T.); +#3972=EDGE_CURVE('',#3172,#3224,#1452,.T.); +#3973=EDGE_CURVE('',#3171,#3225,#1453,.T.); +#3974=EDGE_CURVE('',#3226,#3225,#1454,.T.); +#3975=EDGE_CURVE('',#3162,#3226,#1455,.T.); +#3976=EDGE_CURVE('',#3161,#3227,#1456,.T.); +#3977=EDGE_CURVE('',#3160,#3125,#1457,.T.); +#3978=EDGE_CURVE('',#3119,#3228,#1458,.T.); +#3979=EDGE_CURVE('',#3227,#3228,#1459,.T.); +#3980=EDGE_CURVE('',#3229,#3159,#1460,.T.); +#3981=EDGE_CURVE('',#3230,#3229,#1461,.T.); +#3982=EDGE_CURVE('',#3230,#3126,#1462,.T.); +#3983=EDGE_CURVE('',#3157,#3231,#1463,.T.); +#3984=EDGE_CURVE('',#3156,#3131,#1464,.T.); +#3985=EDGE_CURVE('',#3113,#3232,#1465,.T.); +#3986=EDGE_CURVE('',#3231,#3232,#1466,.T.); +#3987=EDGE_CURVE('',#3233,#3155,#1467,.T.); +#3988=EDGE_CURVE('',#3234,#3233,#1468,.T.); +#3989=EDGE_CURVE('',#3234,#3132,#1469,.T.); +#3990=EDGE_CURVE('',#3153,#3235,#1470,.T.); +#3991=EDGE_CURVE('',#3152,#3135,#1471,.T.); +#3992=EDGE_CURVE('',#3236,#3134,#1472,.T.); +#3993=EDGE_CURVE('',#3235,#3236,#1473,.T.); +#3994=EDGE_CURVE('',#3237,#3151,#1474,.T.); +#3995=EDGE_CURVE('',#3238,#3237,#1475,.T.); +#3996=EDGE_CURVE('',#3111,#3238,#1476,.T.); +#3997=EDGE_CURVE('',#3239,#3057,#1477,.T.); +#3998=EDGE_CURVE('',#3240,#3239,#1478,.T.); +#3999=EDGE_CURVE('',#3240,#3058,#1479,.T.); +#4000=EDGE_CURVE('',#3241,#3240,#1480,.T.); +#4001=EDGE_CURVE('',#3059,#3241,#1481,.T.); +#4002=EDGE_CURVE('',#3239,#3241,#1482,.T.); +#4003=EDGE_CURVE('',#3242,#3243,#1483,.T.); +#4004=EDGE_CURVE('',#3244,#3242,#1484,.T.); +#4005=EDGE_CURVE('',#3090,#3244,#1485,.T.); +#4006=EDGE_CURVE('',#3243,#3056,#1486,.T.); +#4007=EDGE_CURVE('',#3242,#3244,#1487,.T.); +#4008=EDGE_CURVE('',#3245,#3205,#1488,.T.); +#4009=EDGE_CURVE('',#3148,#3245,#1489,.T.); +#4010=EDGE_CURVE('',#3246,#3245,#1490,.T.); +#4011=EDGE_CURVE('',#3149,#3246,#1491,.T.); +#4012=EDGE_CURVE('',#3206,#3246,#1492,.T.); +#4013=EDGE_CURVE('',#3247,#3214,#1493,.T.); +#4014=EDGE_CURVE('',#3247,#3140,#1494,.T.); +#4015=EDGE_CURVE('',#3248,#3247,#1495,.T.); +#4016=EDGE_CURVE('',#3098,#3248,#1496,.T.); +#4017=EDGE_CURVE('',#3097,#3249,#1497,.T.); +#4018=EDGE_CURVE('',#3250,#3249,#1498,.T.); +#4019=EDGE_CURVE('',#3250,#3141,#1499,.T.); +#4020=EDGE_CURVE('',#3216,#3250,#1500,.T.); +#4021=EDGE_CURVE('',#3251,#3224,#1501,.T.); +#4022=EDGE_CURVE('',#3173,#3251,#1502,.T.); +#4023=EDGE_CURVE('',#3252,#3251,#1503,.T.); +#4024=EDGE_CURVE('',#3174,#3252,#1504,.T.); +#4025=EDGE_CURVE('',#3225,#3252,#1505,.T.); +#4026=EDGE_CURVE('',#3253,#3230,#1506,.T.); +#4027=EDGE_CURVE('',#3158,#3253,#1507,.T.); +#4028=EDGE_CURVE('',#3158,#3127,#1508,.T.); +#4029=EDGE_CURVE('',#3254,#3253,#1509,.T.); +#4030=EDGE_CURVE('',#3254,#3128,#1510,.T.); +#4031=EDGE_CURVE('',#3255,#3254,#1511,.T.); +#4032=EDGE_CURVE('',#3255,#3129,#1512,.T.); +#4033=EDGE_CURVE('',#3256,#3255,#1513,.T.); +#4034=EDGE_CURVE('',#3256,#3130,#1514,.T.); +#4035=EDGE_CURVE('',#3257,#3256,#1515,.T.); +#4036=EDGE_CURVE('',#3117,#3257,#1516,.T.); +#4037=EDGE_CURVE('',#3258,#3234,#1517,.T.); +#4038=EDGE_CURVE('',#3154,#3258,#1518,.T.); +#4039=EDGE_CURVE('',#3154,#3133,#1519,.T.); +#4040=EDGE_CURVE('',#3236,#3258,#1520,.T.); +#4041=EDGE_CURVE('',#3158,#3253,#1521,.T.); +#4042=EDGE_CURVE('',#3253,#3257,#200,.T.); +#4043=EDGE_CURVE('',#3229,#3253,#201,.T.); +#4044=EDGE_CURVE('',#3237,#3238,#202,.T.); +#4045=EDGE_CURVE('',#3154,#3258,#1522,.T.); +#4046=EDGE_CURVE('',#3258,#3235,#203,.T.); +#4047=EDGE_CURVE('',#3233,#3258,#204,.T.); +#4048=EDGE_CURVE('',#3163,#3259,#1523,.T.); +#4049=EDGE_CURVE('',#3260,#3259,#205,.T.); +#4050=EDGE_CURVE('',#3164,#3260,#1524,.T.); +#4051=EDGE_CURVE('',#3261,#3260,#1525,.T.); +#4052=EDGE_CURVE('',#3165,#3261,#1526,.T.); +#4053=EDGE_CURVE('',#3262,#3261,#206,.T.); +#4054=EDGE_CURVE('',#3166,#3262,#1527,.T.); +#4055=EDGE_CURVE('',#3263,#3262,#1528,.T.); +#4056=EDGE_CURVE('',#3167,#3263,#1529,.T.); +#4057=EDGE_CURVE('',#3264,#3263,#207,.T.); +#4058=EDGE_CURVE('',#3168,#3264,#1530,.T.); +#4059=EDGE_CURVE('',#3265,#3264,#1531,.T.); +#4060=EDGE_CURVE('',#3169,#3265,#1532,.T.); +#4061=EDGE_CURVE('',#3266,#3265,#208,.T.); +#4062=EDGE_CURVE('',#3170,#3266,#1533,.T.); +#4063=EDGE_CURVE('',#3204,#3266,#1534,.T.); +#4064=EDGE_CURVE('',#3232,#3231,#209,.T.); +#4065=EDGE_CURVE('',#3228,#3227,#210,.T.); +#4066=EDGE_CURVE('',#3259,#3226,#1535,.T.); +#4067=EDGE_CURVE('',#3213,#3248,#211,.T.); +#4068=EDGE_CURVE('',#3211,#3212,#212,.T.); +#4069=EDGE_CURVE('',#3209,#3210,#213,.T.); +#4070=EDGE_CURVE('',#3223,#3267,#1536,.T.); +#4071=EDGE_CURVE('',#3267,#3268,#214,.T.); +#4072=EDGE_CURVE('',#3268,#3269,#1537,.T.); +#4073=EDGE_CURVE('',#3269,#3270,#215,.T.); +#4074=EDGE_CURVE('',#3270,#3271,#1538,.T.); +#4075=EDGE_CURVE('',#3271,#3272,#216,.T.); +#4076=EDGE_CURVE('',#3272,#3273,#1539,.T.); +#4077=EDGE_CURVE('',#3273,#3274,#217,.T.); +#4078=EDGE_CURVE('',#3274,#3203,#1540,.T.); +#4079=EDGE_CURVE('',#3208,#3207,#218,.T.); +#4080=EDGE_CURVE('',#3249,#3215,#219,.T.); +#4081=EDGE_CURVE('',#3217,#3218,#220,.T.); +#4082=EDGE_CURVE('',#3219,#3220,#221,.T.); +#4083=EDGE_CURVE('',#3221,#3222,#222,.T.); +#4084=EDGE_CURVE('',#3176,#3274,#1541,.T.); +#4085=EDGE_CURVE('',#3177,#3273,#1542,.T.); +#4086=EDGE_CURVE('',#3178,#3272,#1543,.T.); +#4087=EDGE_CURVE('',#3179,#3271,#1544,.T.); +#4088=EDGE_CURVE('',#3180,#3270,#1545,.T.); +#4089=EDGE_CURVE('',#3181,#3269,#1546,.T.); +#4090=EDGE_CURVE('',#3182,#3268,#1547,.T.); +#4091=EDGE_CURVE('',#3183,#3267,#1548,.T.); +#4092=EDGE_CURVE('',#3275,#3276,#1549,.T.); +#4093=EDGE_CURVE('',#3276,#3277,#1550,.T.); +#4094=EDGE_CURVE('',#3277,#3275,#1551,.T.); +#4095=EDGE_CURVE('',#3277,#3278,#1552,.T.); +#4096=EDGE_CURVE('',#3279,#3278,#1553,.T.); +#4097=EDGE_CURVE('',#3275,#3279,#1554,.T.); +#4098=EDGE_CURVE('',#3276,#3280,#1555,.T.); +#4099=EDGE_CURVE('',#3278,#3280,#1556,.T.); +#4100=EDGE_CURVE('',#3280,#3279,#1557,.T.); +#4101=EDGE_CURVE('',#3281,#3282,#1558,.T.); +#4102=EDGE_CURVE('',#3282,#3283,#1559,.T.); +#4103=EDGE_CURVE('',#3283,#3281,#1560,.T.); +#4104=EDGE_CURVE('',#3284,#3285,#1561,.T.); +#4105=EDGE_CURVE('',#3284,#3282,#1562,.T.); +#4106=EDGE_CURVE('',#3285,#3281,#1563,.T.); +#4107=EDGE_CURVE('',#3285,#3286,#1564,.T.); +#4108=EDGE_CURVE('',#3286,#3283,#1565,.T.); +#4109=EDGE_CURVE('',#3286,#3284,#1566,.T.); +#4110=EDGE_CURVE('',#3287,#3288,#1567,.T.); +#4111=EDGE_CURVE('',#3288,#3289,#1568,.T.); +#4112=EDGE_CURVE('',#3289,#3287,#1569,.T.); +#4113=EDGE_CURVE('',#3289,#3290,#1570,.T.); +#4114=EDGE_CURVE('',#3291,#3290,#1571,.T.); +#4115=EDGE_CURVE('',#3287,#3291,#1572,.T.); +#4116=EDGE_CURVE('',#3288,#3292,#1573,.T.); +#4117=EDGE_CURVE('',#3290,#3292,#1574,.T.); +#4118=EDGE_CURVE('',#3292,#3291,#1575,.T.); +#4119=EDGE_CURVE('',#3293,#3294,#1576,.T.); +#4120=EDGE_CURVE('',#3294,#3295,#1577,.T.); +#4121=EDGE_CURVE('',#3295,#3293,#1578,.T.); +#4122=EDGE_CURVE('',#3296,#3297,#1579,.T.); +#4123=EDGE_CURVE('',#3296,#3294,#1580,.T.); +#4124=EDGE_CURVE('',#3297,#3293,#1581,.T.); +#4125=EDGE_CURVE('',#3297,#3298,#1582,.T.); +#4126=EDGE_CURVE('',#3298,#3295,#1583,.T.); +#4127=EDGE_CURVE('',#3298,#3296,#1584,.T.); +#4128=EDGE_CURVE('',#3299,#3300,#1585,.T.); +#4129=EDGE_CURVE('',#3300,#3301,#308,.T.); +#4130=EDGE_CURVE('',#3301,#3299,#1586,.T.); +#4131=EDGE_CURVE('',#3302,#3303,#309,.T.); +#4132=EDGE_CURVE('',#3303,#3304,#1587,.T.); +#4133=EDGE_CURVE('',#3304,#3305,#1588,.T.); +#4134=EDGE_CURVE('',#3305,#3306,#1589,.T.); +#4135=EDGE_CURVE('',#3306,#3302,#1590,.T.); +#4136=EDGE_CURVE('',#3307,#3308,#1591,.T.); +#4137=EDGE_CURVE('',#3308,#3302,#1592,.T.); +#4138=EDGE_CURVE('',#3302,#3307,#310,.T.); +#4139=EDGE_CURVE('',#3309,#3310,#1593,.T.); +#4140=EDGE_CURVE('',#3310,#3309,#311,.T.); +#4141=EDGE_CURVE('',#3301,#3311,#1594,.T.); +#4142=EDGE_CURVE('',#3311,#3310,#1595,.T.); +#4143=EDGE_CURVE('',#3310,#3301,#312,.T.); +#4144=EDGE_CURVE('',#3300,#3307,#313,.T.); +#4145=EDGE_CURVE('',#3307,#3312,#1596,.T.); +#4146=EDGE_CURVE('',#3312,#3300,#1597,.T.); +#4147=EDGE_CURVE('',#3301,#3313,#1598,.T.); +#4148=EDGE_CURVE('',#3314,#3313,#1599,.T.); +#4149=EDGE_CURVE('',#3299,#3314,#1600,.T.); +#4150=EDGE_CURVE('',#3310,#3315,#1601,.T.); +#4151=EDGE_CURVE('',#3313,#3315,#314,.T.); +#4152=EDGE_CURVE('',#3309,#3316,#1602,.T.); +#4153=EDGE_CURVE('',#3315,#3316,#1603,.T.); +#4154=EDGE_CURVE('',#3310,#3315,#1604,.T.); +#4155=EDGE_CURVE('',#3316,#3315,#315,.T.); +#4156=EDGE_CURVE('',#3311,#3317,#1605,.T.); +#4157=EDGE_CURVE('',#3315,#3317,#1606,.T.); +#4158=EDGE_CURVE('',#3301,#3313,#1607,.T.); +#4159=EDGE_CURVE('',#3317,#3313,#1608,.T.); +#4160=EDGE_CURVE('',#3300,#3318,#1609,.T.); +#4161=EDGE_CURVE('',#3313,#3318,#316,.T.); +#4162=EDGE_CURVE('',#3312,#3319,#1610,.T.); +#4163=EDGE_CURVE('',#3318,#3319,#1611,.T.); +#4164=EDGE_CURVE('',#3307,#3320,#1612,.T.); +#4165=EDGE_CURVE('',#3319,#3320,#1613,.T.); +#4166=EDGE_CURVE('',#3302,#3321,#1614,.T.); +#4167=EDGE_CURVE('',#3320,#3321,#317,.T.); +#4168=EDGE_CURVE('',#3306,#3322,#1615,.T.); +#4169=EDGE_CURVE('',#3321,#3322,#1616,.T.); +#4170=EDGE_CURVE('',#3305,#3323,#1617,.T.); +#4171=EDGE_CURVE('',#3322,#3323,#1618,.T.); +#4172=EDGE_CURVE('',#3304,#3324,#1619,.T.); +#4173=EDGE_CURVE('',#3323,#3324,#1620,.T.); +#4174=EDGE_CURVE('',#3303,#3325,#1621,.T.); +#4175=EDGE_CURVE('',#3324,#3325,#1622,.T.); +#4176=EDGE_CURVE('',#3302,#3321,#1623,.T.); +#4177=EDGE_CURVE('',#3325,#3321,#318,.T.); +#4178=EDGE_CURVE('',#3308,#3326,#1624,.T.); +#4179=EDGE_CURVE('',#3321,#3326,#1625,.T.); +#4180=EDGE_CURVE('',#3307,#3320,#1626,.T.); +#4181=EDGE_CURVE('',#3326,#3320,#1627,.T.); +#4182=EDGE_CURVE('',#3300,#3318,#1628,.T.); +#4183=EDGE_CURVE('',#3320,#3318,#319,.T.); +#4184=EDGE_CURVE('',#3318,#3314,#1629,.T.); +#4185=EDGE_CURVE('',#3327,#3328,#1630,.T.); +#4186=EDGE_CURVE('',#3328,#3329,#1631,.T.); +#4187=EDGE_CURVE('',#3329,#3327,#1632,.T.); +#4188=EDGE_CURVE('',#3330,#3331,#1633,.T.); +#4189=EDGE_CURVE('',#3330,#3328,#1634,.T.); +#4190=EDGE_CURVE('',#3331,#3327,#1635,.T.); +#4191=EDGE_CURVE('',#3331,#3332,#1636,.T.); +#4192=EDGE_CURVE('',#3332,#3329,#1637,.T.); +#4193=EDGE_CURVE('',#3332,#3330,#1638,.T.); +#4194=EDGE_CURVE('',#3333,#3334,#1639,.T.); +#4195=EDGE_CURVE('',#3334,#3335,#1640,.T.); +#4196=EDGE_CURVE('',#3335,#3333,#1641,.T.); +#4197=EDGE_CURVE('',#3334,#3336,#1642,.T.); +#4198=EDGE_CURVE('',#3336,#3337,#1643,.T.); +#4199=EDGE_CURVE('',#3337,#3338,#1644,.T.); +#4200=EDGE_CURVE('',#3338,#3334,#1645,.T.); +#4201=EDGE_CURVE('',#3335,#3339,#1646,.T.); +#4202=EDGE_CURVE('',#3340,#3339,#1647,.T.); +#4203=EDGE_CURVE('',#3333,#3340,#1648,.T.); +#4204=EDGE_CURVE('',#3334,#3341,#1649,.T.); +#4205=EDGE_CURVE('',#3339,#3341,#1650,.T.); +#4206=EDGE_CURVE('',#3338,#3342,#1651,.T.); +#4207=EDGE_CURVE('',#3341,#3342,#1652,.T.); +#4208=EDGE_CURVE('',#3337,#3343,#1653,.T.); +#4209=EDGE_CURVE('',#3342,#3343,#1654,.T.); +#4210=EDGE_CURVE('',#3336,#3344,#1655,.T.); +#4211=EDGE_CURVE('',#3343,#3344,#1656,.T.); +#4212=EDGE_CURVE('',#3334,#3341,#1657,.T.); +#4213=EDGE_CURVE('',#3344,#3341,#1658,.T.); +#4214=EDGE_CURVE('',#3341,#3340,#1659,.T.); +#4215=EDGE_CURVE('',#3345,#3346,#1660,.T.); +#4216=EDGE_CURVE('',#3346,#3347,#1661,.T.); +#4217=EDGE_CURVE('',#3347,#3345,#1662,.T.); +#4218=EDGE_CURVE('',#3348,#3349,#1663,.T.); +#4219=EDGE_CURVE('',#3348,#3346,#1664,.T.); +#4220=EDGE_CURVE('',#3349,#3345,#1665,.T.); +#4221=EDGE_CURVE('',#3349,#3350,#1666,.T.); +#4222=EDGE_CURVE('',#3350,#3347,#1667,.T.); +#4223=EDGE_CURVE('',#3350,#3348,#1668,.T.); +#4224=EDGE_CURVE('',#3351,#3352,#1669,.T.); +#4225=EDGE_CURVE('',#3352,#3353,#1670,.T.); +#4226=EDGE_CURVE('',#3353,#3351,#1671,.T.); +#4227=EDGE_CURVE('',#3353,#3354,#1672,.T.); +#4228=EDGE_CURVE('',#3355,#3354,#1673,.T.); +#4229=EDGE_CURVE('',#3351,#3355,#1674,.T.); +#4230=EDGE_CURVE('',#3352,#3356,#1675,.T.); +#4231=EDGE_CURVE('',#3354,#3356,#1676,.T.); +#4232=EDGE_CURVE('',#3356,#3355,#1677,.T.); +#4233=EDGE_CURVE('',#3357,#3358,#1678,.T.); +#4234=EDGE_CURVE('',#3358,#3359,#1679,.T.); +#4235=EDGE_CURVE('',#3359,#3357,#1680,.T.); +#4236=EDGE_CURVE('',#3360,#3361,#1681,.T.); +#4237=EDGE_CURVE('',#3360,#3358,#1682,.T.); +#4238=EDGE_CURVE('',#3361,#3357,#1683,.T.); +#4239=EDGE_CURVE('',#3361,#3362,#1684,.T.); +#4240=EDGE_CURVE('',#3362,#3359,#1685,.T.); +#4241=EDGE_CURVE('',#3362,#3360,#1686,.T.); +#4242=EDGE_CURVE('',#3363,#3364,#1687,.T.); +#4243=EDGE_CURVE('',#3364,#3365,#1688,.T.); +#4244=EDGE_CURVE('',#3365,#3366,#1689,.T.); +#4245=EDGE_CURVE('',#3366,#3363,#1690,.T.); +#4246=EDGE_CURVE('',#3366,#3367,#1691,.T.); +#4247=EDGE_CURVE('',#3368,#3367,#1692,.T.); +#4248=EDGE_CURVE('',#3363,#3368,#1693,.T.); +#4249=EDGE_CURVE('',#3365,#3369,#1694,.T.); +#4250=EDGE_CURVE('',#3367,#3369,#1695,.T.); +#4251=EDGE_CURVE('',#3364,#3370,#1696,.T.); +#4252=EDGE_CURVE('',#3369,#3370,#1697,.T.); +#4253=EDGE_CURVE('',#3370,#3368,#1698,.T.); +#4254=EDGE_CURVE('',#3371,#3372,#1699,.T.); +#4255=EDGE_CURVE('',#3372,#3373,#1700,.T.); +#4256=EDGE_CURVE('',#3373,#3371,#1701,.T.); +#4257=EDGE_CURVE('',#3374,#3375,#1702,.T.); +#4258=EDGE_CURVE('',#3374,#3372,#1703,.T.); +#4259=EDGE_CURVE('',#3375,#3371,#1704,.T.); +#4260=EDGE_CURVE('',#3375,#3376,#1705,.T.); +#4261=EDGE_CURVE('',#3376,#3373,#1706,.T.); +#4262=EDGE_CURVE('',#3376,#3374,#1707,.T.); +#4263=EDGE_CURVE('',#3377,#3378,#320,.T.); +#4264=EDGE_CURVE('',#3378,#3379,#1708,.T.); +#4265=EDGE_CURVE('',#3379,#3377,#1709,.T.); +#4266=EDGE_CURVE('',#3380,#3381,#1710,.T.); +#4267=EDGE_CURVE('',#3381,#3382,#1711,.T.); +#4268=EDGE_CURVE('',#3382,#3383,#1712,.T.); +#4269=EDGE_CURVE('',#3383,#3380,#321,.T.); +#4270=EDGE_CURVE('',#3384,#3380,#322,.T.); +#4271=EDGE_CURVE('',#3380,#3384,#1713,.T.); +#4272=EDGE_CURVE('',#3385,#3386,#1714,.T.); +#4273=EDGE_CURVE('',#3386,#3384,#1715,.T.); +#4274=EDGE_CURVE('',#3384,#3385,#323,.T.); +#4275=EDGE_CURVE('',#3387,#3385,#324,.T.); +#4276=EDGE_CURVE('',#3385,#3388,#1716,.T.); +#4277=EDGE_CURVE('',#3388,#3387,#1717,.T.); +#4278=EDGE_CURVE('',#3378,#3389,#1718,.T.); +#4279=EDGE_CURVE('',#3389,#3387,#1719,.T.); +#4280=EDGE_CURVE('',#3387,#3378,#325,.T.); +#4281=EDGE_CURVE('',#3379,#3390,#1720,.T.); +#4282=EDGE_CURVE('',#3391,#3390,#1721,.T.); +#4283=EDGE_CURVE('',#3377,#3391,#1722,.T.); +#4284=EDGE_CURVE('',#3378,#3392,#1723,.T.); +#4285=EDGE_CURVE('',#3390,#3392,#1724,.T.); +#4286=EDGE_CURVE('',#3387,#3393,#1725,.T.); +#4287=EDGE_CURVE('',#3392,#3393,#326,.T.); +#4288=EDGE_CURVE('',#3388,#3394,#1726,.T.); +#4289=EDGE_CURVE('',#3393,#3394,#1727,.T.); +#4290=EDGE_CURVE('',#3385,#3395,#1728,.T.); +#4291=EDGE_CURVE('',#3394,#3395,#1729,.T.); +#4292=EDGE_CURVE('',#3384,#3396,#1730,.T.); +#4293=EDGE_CURVE('',#3395,#3396,#327,.T.); +#4294=EDGE_CURVE('',#3380,#3397,#1731,.T.); +#4295=EDGE_CURVE('',#3396,#3397,#1732,.T.); +#4296=EDGE_CURVE('',#3383,#3398,#1733,.T.); +#4297=EDGE_CURVE('',#3397,#3398,#328,.T.); +#4298=EDGE_CURVE('',#3382,#3399,#1734,.T.); +#4299=EDGE_CURVE('',#3398,#3399,#1735,.T.); +#4300=EDGE_CURVE('',#3381,#3400,#1736,.T.); +#4301=EDGE_CURVE('',#3399,#3400,#1737,.T.); +#4302=EDGE_CURVE('',#3380,#3397,#1738,.T.); +#4303=EDGE_CURVE('',#3400,#3397,#1739,.T.); +#4304=EDGE_CURVE('',#3384,#3396,#1740,.T.); +#4305=EDGE_CURVE('',#3397,#3396,#329,.T.); +#4306=EDGE_CURVE('',#3386,#3401,#1741,.T.); +#4307=EDGE_CURVE('',#3396,#3401,#1742,.T.); +#4308=EDGE_CURVE('',#3385,#3395,#1743,.T.); +#4309=EDGE_CURVE('',#3401,#3395,#1744,.T.); +#4310=EDGE_CURVE('',#3387,#3393,#1745,.T.); +#4311=EDGE_CURVE('',#3395,#3393,#330,.T.); +#4312=EDGE_CURVE('',#3389,#3402,#1746,.T.); +#4313=EDGE_CURVE('',#3393,#3402,#1747,.T.); +#4314=EDGE_CURVE('',#3378,#3392,#1748,.T.); +#4315=EDGE_CURVE('',#3402,#3392,#1749,.T.); +#4316=EDGE_CURVE('',#3392,#3391,#331,.T.); +#4317=EDGE_CURVE('',#3403,#3404,#1750,.T.); +#4318=EDGE_CURVE('',#3404,#3405,#1751,.T.); +#4319=EDGE_CURVE('',#3405,#3403,#1752,.T.); +#4320=EDGE_CURVE('',#3406,#3407,#1753,.T.); +#4321=EDGE_CURVE('',#3406,#3404,#1754,.T.); +#4322=EDGE_CURVE('',#3407,#3403,#1755,.T.); +#4323=EDGE_CURVE('',#3407,#3408,#1756,.T.); +#4324=EDGE_CURVE('',#3408,#3405,#1757,.T.); +#4325=EDGE_CURVE('',#3408,#3406,#1758,.T.); +#4326=EDGE_CURVE('',#3409,#3410,#1759,.T.); +#4327=EDGE_CURVE('',#3410,#3411,#1760,.T.); +#4328=EDGE_CURVE('',#3411,#3409,#1761,.T.); +#4329=EDGE_CURVE('',#3411,#3412,#1762,.T.); +#4330=EDGE_CURVE('',#3413,#3412,#1763,.T.); +#4331=EDGE_CURVE('',#3409,#3413,#1764,.T.); +#4332=EDGE_CURVE('',#3410,#3414,#1765,.T.); +#4333=EDGE_CURVE('',#3412,#3414,#1766,.T.); +#4334=EDGE_CURVE('',#3414,#3413,#1767,.T.); +#4335=EDGE_CURVE('',#3415,#3416,#1768,.T.); +#4336=EDGE_CURVE('',#3416,#3417,#1769,.T.); +#4337=EDGE_CURVE('',#3417,#3418,#1770,.T.); +#4338=EDGE_CURVE('',#3418,#3415,#1771,.T.); +#4339=EDGE_CURVE('',#3419,#3420,#1772,.T.); +#4340=EDGE_CURVE('',#3419,#3416,#1773,.T.); +#4341=EDGE_CURVE('',#3420,#3415,#1774,.T.); +#4342=EDGE_CURVE('',#3420,#3421,#1775,.T.); +#4343=EDGE_CURVE('',#3421,#3418,#1776,.T.); +#4344=EDGE_CURVE('',#3421,#3422,#1777,.T.); +#4345=EDGE_CURVE('',#3422,#3417,#1778,.T.); +#4346=EDGE_CURVE('',#3422,#3419,#1779,.T.); +#4347=EDGE_CURVE('',#3423,#3424,#1780,.T.); +#4348=EDGE_CURVE('',#3424,#3425,#1781,.T.); +#4349=EDGE_CURVE('',#3425,#3426,#1782,.T.); +#4350=EDGE_CURVE('',#3426,#3427,#1783,.T.); +#4351=EDGE_CURVE('',#3427,#3423,#1784,.T.); +#4352=EDGE_CURVE('',#3425,#3428,#1785,.T.); +#4353=EDGE_CURVE('',#3428,#3429,#1786,.T.); +#4354=EDGE_CURVE('',#3429,#3430,#1787,.T.); +#4355=EDGE_CURVE('',#3430,#3425,#1788,.T.); +#4356=EDGE_CURVE('',#3427,#3431,#1789,.T.); +#4357=EDGE_CURVE('',#3432,#3431,#1790,.T.); +#4358=EDGE_CURVE('',#3423,#3432,#1791,.T.); +#4359=EDGE_CURVE('',#3426,#3433,#1792,.T.); +#4360=EDGE_CURVE('',#3431,#3433,#1793,.T.); +#4361=EDGE_CURVE('',#3425,#3434,#1794,.T.); +#4362=EDGE_CURVE('',#3433,#3434,#1795,.T.); +#4363=EDGE_CURVE('',#3430,#3435,#1796,.T.); +#4364=EDGE_CURVE('',#3434,#3435,#1797,.T.); +#4365=EDGE_CURVE('',#3429,#3436,#1798,.T.); +#4366=EDGE_CURVE('',#3435,#3436,#1799,.T.); +#4367=EDGE_CURVE('',#3428,#3437,#1800,.T.); +#4368=EDGE_CURVE('',#3436,#3437,#1801,.T.); +#4369=EDGE_CURVE('',#3425,#3434,#1802,.T.); +#4370=EDGE_CURVE('',#3437,#3434,#1803,.T.); +#4371=EDGE_CURVE('',#3424,#3438,#1804,.T.); +#4372=EDGE_CURVE('',#3434,#3438,#1805,.T.); +#4373=EDGE_CURVE('',#3438,#3432,#1806,.T.); +#4374=EDGE_CURVE('',#3439,#3440,#1807,.T.); +#4375=EDGE_CURVE('',#3439,#3441,#1808,.T.); +#4376=EDGE_CURVE('',#3442,#3441,#1809,.T.); +#4377=EDGE_CURVE('',#3440,#3442,#1810,.T.); +#4378=EDGE_CURVE('',#3440,#3443,#1811,.T.); +#4379=EDGE_CURVE('',#3444,#3442,#1812,.T.); +#4380=EDGE_CURVE('',#3443,#3444,#1813,.T.); +#4381=EDGE_CURVE('',#3443,#3445,#1814,.T.); +#4382=EDGE_CURVE('',#3446,#3444,#1815,.T.); +#4383=EDGE_CURVE('',#3445,#3446,#1816,.T.); +#4384=EDGE_CURVE('',#3445,#3439,#1817,.T.); +#4385=EDGE_CURVE('',#3441,#3446,#1818,.T.); +#4386=EDGE_CURVE('',#3447,#3448,#1819,.T.); +#4387=EDGE_CURVE('',#3447,#3449,#1820,.T.); +#4388=EDGE_CURVE('',#3450,#3449,#1821,.T.); +#4389=EDGE_CURVE('',#3448,#3450,#1822,.T.); +#4390=EDGE_CURVE('',#3448,#3451,#1823,.T.); +#4391=EDGE_CURVE('',#3452,#3450,#1824,.T.); +#4392=EDGE_CURVE('',#3451,#3452,#1825,.T.); +#4393=EDGE_CURVE('',#3451,#3453,#1826,.T.); +#4394=EDGE_CURVE('',#3454,#3452,#1827,.T.); +#4395=EDGE_CURVE('',#3453,#3454,#1828,.T.); +#4396=EDGE_CURVE('',#3453,#3447,#1829,.T.); +#4397=EDGE_CURVE('',#3449,#3454,#1830,.T.); +#4398=EDGE_CURVE('',#3455,#3456,#1831,.T.); +#4399=EDGE_CURVE('',#3455,#3457,#1832,.T.); +#4400=EDGE_CURVE('',#3458,#3457,#1833,.T.); +#4401=EDGE_CURVE('',#3456,#3458,#1834,.T.); +#4402=EDGE_CURVE('',#3456,#3459,#223,.T.); +#4403=EDGE_CURVE('',#3460,#3458,#224,.T.); +#4404=EDGE_CURVE('',#3459,#3460,#1835,.T.); +#4405=EDGE_CURVE('',#3459,#3461,#1836,.T.); +#4406=EDGE_CURVE('',#3462,#3460,#1837,.T.); +#4407=EDGE_CURVE('',#3461,#3462,#1838,.T.); +#4408=EDGE_CURVE('',#3461,#3463,#225,.T.); +#4409=EDGE_CURVE('',#3464,#3462,#226,.T.); +#4410=EDGE_CURVE('',#3463,#3464,#1839,.T.); +#4411=EDGE_CURVE('',#3463,#3465,#1840,.T.); +#4412=EDGE_CURVE('',#3466,#3464,#1841,.T.); +#4413=EDGE_CURVE('',#3465,#3466,#1842,.T.); +#4414=EDGE_CURVE('',#3465,#3467,#227,.T.); +#4415=EDGE_CURVE('',#3468,#3466,#228,.T.); +#4416=EDGE_CURVE('',#3467,#3468,#1843,.T.); +#4417=EDGE_CURVE('',#3467,#3469,#1844,.T.); +#4418=EDGE_CURVE('',#3470,#3468,#1845,.T.); +#4419=EDGE_CURVE('',#3469,#3470,#1846,.T.); +#4420=EDGE_CURVE('',#3469,#3471,#229,.T.); +#4421=EDGE_CURVE('',#3472,#3470,#230,.T.); +#4422=EDGE_CURVE('',#3471,#3472,#1847,.T.); +#4423=EDGE_CURVE('',#3471,#3473,#1848,.T.); +#4424=EDGE_CURVE('',#3474,#3472,#1849,.T.); +#4425=EDGE_CURVE('',#3473,#3474,#1850,.T.); +#4426=EDGE_CURVE('',#3473,#3475,#231,.T.); +#4427=EDGE_CURVE('',#3476,#3474,#232,.T.); +#4428=EDGE_CURVE('',#3475,#3476,#1851,.T.); +#4429=EDGE_CURVE('',#3475,#3477,#1852,.T.); +#4430=EDGE_CURVE('',#3478,#3476,#1853,.T.); +#4431=EDGE_CURVE('',#3477,#3478,#1854,.T.); +#4432=EDGE_CURVE('',#3477,#3479,#233,.T.); +#4433=EDGE_CURVE('',#3480,#3478,#234,.T.); +#4434=EDGE_CURVE('',#3479,#3480,#1855,.T.); +#4435=EDGE_CURVE('',#3479,#3481,#1856,.T.); +#4436=EDGE_CURVE('',#3482,#3480,#1857,.T.); +#4437=EDGE_CURVE('',#3481,#3482,#1858,.T.); +#4438=EDGE_CURVE('',#3481,#3483,#235,.T.); +#4439=EDGE_CURVE('',#3484,#3482,#236,.T.); +#4440=EDGE_CURVE('',#3483,#3484,#1859,.T.); +#4441=EDGE_CURVE('',#3485,#3486,#237,.T.); +#4442=EDGE_CURVE('',#3485,#3487,#1860,.T.); +#4443=EDGE_CURVE('',#3488,#3487,#238,.T.); +#4444=EDGE_CURVE('',#3486,#3488,#1861,.T.); +#4445=EDGE_CURVE('',#3486,#3489,#1862,.T.); +#4446=EDGE_CURVE('',#3490,#3488,#1863,.T.); +#4447=EDGE_CURVE('',#3489,#3490,#1864,.T.); +#4448=EDGE_CURVE('',#3489,#3491,#239,.T.); +#4449=EDGE_CURVE('',#3492,#3490,#240,.T.); +#4450=EDGE_CURVE('',#3491,#3492,#1865,.T.); +#4451=EDGE_CURVE('',#3491,#3493,#1866,.T.); +#4452=EDGE_CURVE('',#3494,#3492,#1867,.T.); +#4453=EDGE_CURVE('',#3493,#3494,#1868,.T.); +#4454=EDGE_CURVE('',#3493,#3495,#241,.T.); +#4455=EDGE_CURVE('',#3496,#3494,#242,.T.); +#4456=EDGE_CURVE('',#3495,#3496,#1869,.T.); +#4457=EDGE_CURVE('',#3495,#3497,#1870,.T.); +#4458=EDGE_CURVE('',#3498,#3496,#1871,.T.); +#4459=EDGE_CURVE('',#3497,#3498,#1872,.T.); +#4460=EDGE_CURVE('',#3497,#3499,#243,.T.); +#4461=EDGE_CURVE('',#3500,#3498,#244,.T.); +#4462=EDGE_CURVE('',#3499,#3500,#1873,.T.); +#4463=EDGE_CURVE('',#3499,#3501,#1874,.T.); +#4464=EDGE_CURVE('',#3502,#3500,#1875,.T.); +#4465=EDGE_CURVE('',#3501,#3502,#1876,.T.); +#4466=EDGE_CURVE('',#3501,#3455,#245,.T.); +#4467=EDGE_CURVE('',#3457,#3502,#246,.T.); +#4468=EDGE_CURVE('',#3487,#3503,#1877,.T.); +#4469=EDGE_CURVE('',#3503,#3504,#247,.T.); +#4470=EDGE_CURVE('',#3504,#3505,#1878,.T.); +#4471=EDGE_CURVE('',#3505,#3506,#248,.T.); +#4472=EDGE_CURVE('',#3506,#3507,#1879,.T.); +#4473=EDGE_CURVE('',#3507,#3508,#249,.T.); +#4474=EDGE_CURVE('',#3508,#3509,#1880,.T.); +#4475=EDGE_CURVE('',#3509,#3510,#250,.T.); +#4476=EDGE_CURVE('',#3510,#3484,#1881,.T.); +#4477=EDGE_CURVE('',#3511,#3512,#1882,.T.); +#4478=EDGE_CURVE('',#3511,#3509,#1883,.T.); +#4479=EDGE_CURVE('',#3512,#3508,#1884,.T.); +#4480=EDGE_CURVE('',#3513,#3512,#251,.T.); +#4481=EDGE_CURVE('',#3513,#3507,#1885,.T.); +#4482=EDGE_CURVE('',#3514,#3513,#1886,.T.); +#4483=EDGE_CURVE('',#3514,#3506,#1887,.T.); +#4484=EDGE_CURVE('',#3515,#3514,#252,.T.); +#4485=EDGE_CURVE('',#3515,#3505,#1888,.T.); +#4486=EDGE_CURVE('',#3516,#3515,#1889,.T.); +#4487=EDGE_CURVE('',#3516,#3504,#1890,.T.); +#4488=EDGE_CURVE('',#3516,#3517,#253,.T.); +#4489=EDGE_CURVE('',#3517,#3503,#1891,.T.); +#4490=EDGE_CURVE('',#3518,#3517,#1892,.T.); +#4491=EDGE_CURVE('',#3519,#3485,#1893,.T.); +#4492=EDGE_CURVE('',#3518,#3519,#1894,.T.); +#4493=EDGE_CURVE('',#3518,#3520,#1895,.T.); +#4494=EDGE_CURVE('',#3521,#3519,#1896,.T.); +#4495=EDGE_CURVE('',#3520,#3521,#1897,.T.); +#4496=EDGE_CURVE('',#3522,#3520,#254,.T.); +#4497=EDGE_CURVE('',#3523,#3521,#255,.T.); +#4498=EDGE_CURVE('',#3522,#3523,#1898,.T.); +#4499=EDGE_CURVE('',#3522,#3524,#1899,.T.); +#4500=EDGE_CURVE('',#3525,#3523,#1900,.T.); +#4501=EDGE_CURVE('',#3524,#3525,#1901,.T.); +#4502=EDGE_CURVE('',#3526,#3524,#256,.T.); +#4503=EDGE_CURVE('',#3527,#3525,#257,.T.); +#4504=EDGE_CURVE('',#3526,#3527,#1902,.T.); +#4505=EDGE_CURVE('',#3526,#3528,#1903,.T.); +#4506=EDGE_CURVE('',#3529,#3527,#1904,.T.); +#4507=EDGE_CURVE('',#3528,#3529,#1905,.T.); +#4508=EDGE_CURVE('',#3530,#3528,#258,.T.); +#4509=EDGE_CURVE('',#3531,#3529,#259,.T.); +#4510=EDGE_CURVE('',#3530,#3531,#1906,.T.); +#4511=EDGE_CURVE('',#3532,#3530,#1907,.T.); +#4512=EDGE_CURVE('',#3533,#3531,#1908,.T.); +#4513=EDGE_CURVE('',#3532,#3533,#1909,.T.); +#4514=EDGE_CURVE('',#3532,#3534,#1910,.T.); +#4515=EDGE_CURVE('',#3535,#3533,#1911,.T.); +#4516=EDGE_CURVE('',#3534,#3535,#1912,.T.); +#4517=EDGE_CURVE('',#3536,#3534,#1913,.T.); +#4518=EDGE_CURVE('',#3537,#3535,#1914,.T.); +#4519=EDGE_CURVE('',#3536,#3537,#1915,.T.); +#4520=EDGE_CURVE('',#3536,#3538,#1916,.T.); +#4521=EDGE_CURVE('',#3539,#3537,#1917,.T.); +#4522=EDGE_CURVE('',#3538,#3539,#1918,.T.); +#4523=EDGE_CURVE('',#3538,#3540,#1919,.T.); +#4524=EDGE_CURVE('',#3541,#3539,#1920,.T.); +#4525=EDGE_CURVE('',#3540,#3541,#1921,.T.); +#4526=EDGE_CURVE('',#3540,#3542,#1922,.T.); +#4527=EDGE_CURVE('',#3543,#3541,#1923,.T.); +#4528=EDGE_CURVE('',#3542,#3543,#1924,.T.); +#4529=EDGE_CURVE('',#3542,#3544,#1925,.T.); +#4530=EDGE_CURVE('',#3545,#3543,#1926,.T.); +#4531=EDGE_CURVE('',#3544,#3545,#1927,.T.); +#4532=EDGE_CURVE('',#3546,#3544,#260,.T.); +#4533=EDGE_CURVE('',#3547,#3545,#261,.T.); +#4534=EDGE_CURVE('',#3546,#3547,#1928,.T.); +#4535=EDGE_CURVE('',#3546,#3548,#1929,.T.); +#4536=EDGE_CURVE('',#3549,#3547,#1930,.T.); +#4537=EDGE_CURVE('',#3548,#3549,#1931,.T.); +#4538=EDGE_CURVE('',#3550,#3548,#262,.T.); +#4539=EDGE_CURVE('',#3551,#3549,#263,.T.); +#4540=EDGE_CURVE('',#3550,#3551,#1932,.T.); +#4541=EDGE_CURVE('',#3550,#3552,#1933,.T.); +#4542=EDGE_CURVE('',#3553,#3551,#1934,.T.); +#4543=EDGE_CURVE('',#3552,#3553,#1935,.T.); +#4544=EDGE_CURVE('',#3554,#3552,#264,.T.); +#4545=EDGE_CURVE('',#3555,#3553,#265,.T.); +#4546=EDGE_CURVE('',#3554,#3555,#1936,.T.); +#4547=EDGE_CURVE('',#3554,#3556,#1937,.T.); +#4548=EDGE_CURVE('',#3557,#3555,#1938,.T.); +#4549=EDGE_CURVE('',#3556,#3557,#1939,.T.); +#4550=EDGE_CURVE('',#3558,#3556,#1940,.T.); +#4551=EDGE_CURVE('',#3483,#3557,#1941,.T.); +#4552=EDGE_CURVE('',#3558,#3510,#1942,.T.); +#4553=EDGE_CURVE('',#3558,#3511,#266,.T.); +#4554=EDGE_CURVE('',#3559,#3560,#1943,.T.); +#4555=EDGE_CURVE('',#3560,#3561,#1944,.T.); +#4556=EDGE_CURVE('',#3561,#3562,#1945,.T.); +#4557=EDGE_CURVE('',#3562,#3559,#1946,.T.); +#4558=EDGE_CURVE('',#3560,#3563,#1947,.T.); +#4559=EDGE_CURVE('',#3564,#3563,#1948,.T.); +#4560=EDGE_CURVE('',#3564,#3561,#1949,.T.); +#4561=EDGE_CURVE('',#3565,#3559,#1950,.T.); +#4562=EDGE_CURVE('',#3566,#3565,#1951,.T.); +#4563=EDGE_CURVE('',#3566,#3567,#1952,.T.); +#4564=EDGE_CURVE('',#3563,#3567,#1953,.T.); +#4565=EDGE_CURVE('',#3568,#3564,#1954,.T.); +#4566=EDGE_CURVE('',#3567,#3568,#1955,.T.); +#4567=EDGE_CURVE('',#3569,#3568,#1956,.T.); +#4568=EDGE_CURVE('',#3570,#3569,#1957,.T.); +#4569=EDGE_CURVE('',#3570,#3562,#1958,.T.); +#4570=EDGE_CURVE('',#3569,#3566,#1959,.T.); +#4571=EDGE_CURVE('',#3571,#3572,#1960,.T.); +#4572=EDGE_CURVE('',#3572,#3573,#1961,.T.); +#4573=EDGE_CURVE('',#3573,#3574,#1962,.T.); +#4574=EDGE_CURVE('',#3574,#3571,#1963,.T.); +#4575=EDGE_CURVE('',#3572,#3575,#1964,.T.); +#4576=EDGE_CURVE('',#3576,#3575,#1965,.T.); +#4577=EDGE_CURVE('',#3576,#3573,#1966,.T.); +#4578=EDGE_CURVE('',#3577,#3571,#1967,.T.); +#4579=EDGE_CURVE('',#3578,#3577,#1968,.T.); +#4580=EDGE_CURVE('',#3578,#3579,#1969,.T.); +#4581=EDGE_CURVE('',#3575,#3579,#1970,.T.); +#4582=EDGE_CURVE('',#3580,#3576,#1971,.T.); +#4583=EDGE_CURVE('',#3579,#3580,#1972,.T.); +#4584=EDGE_CURVE('',#3581,#3580,#1973,.T.); +#4585=EDGE_CURVE('',#3582,#3581,#1974,.T.); +#4586=EDGE_CURVE('',#3582,#3574,#1975,.T.); +#4587=EDGE_CURVE('',#3581,#3578,#1976,.T.); +#4588=EDGE_CURVE('',#3583,#3583,#267,.T.); +#4589=EDGE_CURVE('',#3583,#3584,#1977,.T.); +#4590=EDGE_CURVE('',#3584,#3584,#268,.T.); +#4591=EDGE_CURVE('',#3584,#3585,#1978,.T.); +#4592=EDGE_CURVE('',#3585,#3585,#269,.T.); +#4593=EDGE_CURVE('',#3577,#3582,#1979,.T.); +#4594=EDGE_CURVE('',#3586,#3586,#270,.T.); +#4595=EDGE_CURVE('',#3586,#3587,#1980,.T.); +#4596=EDGE_CURVE('',#3587,#3587,#271,.T.); +#4597=EDGE_CURVE('',#3565,#3570,#1981,.T.); +#4598=EDGE_CURVE('',#3588,#3588,#272,.T.); +#4599=EDGE_CURVE('',#3588,#3589,#1982,.T.); +#4600=EDGE_CURVE('',#3589,#3589,#273,.T.); +#4601=EDGE_CURVE('',#3590,#3591,#1983,.T.); +#4602=EDGE_CURVE('',#3591,#3592,#1984,.T.); +#4603=EDGE_CURVE('',#3593,#3592,#1985,.T.); +#4604=EDGE_CURVE('',#3590,#3593,#1986,.T.); +#4605=EDGE_CURVE('',#3594,#3590,#1987,.T.); +#4606=EDGE_CURVE('',#3595,#3593,#1988,.T.); +#4607=EDGE_CURVE('',#3594,#3595,#1989,.T.); +#4608=EDGE_CURVE('',#3591,#3594,#1990,.T.); +#4609=EDGE_CURVE('',#3592,#3595,#1991,.T.); +#4610=EDGE_CURVE('',#3596,#3597,#1992,.T.); +#4611=EDGE_CURVE('',#3597,#3598,#1993,.T.); +#4612=EDGE_CURVE('',#3599,#3598,#1994,.T.); +#4613=EDGE_CURVE('',#3596,#3599,#1995,.T.); +#4614=EDGE_CURVE('',#3600,#3596,#1996,.T.); +#4615=EDGE_CURVE('',#3601,#3599,#1997,.T.); +#4616=EDGE_CURVE('',#3600,#3601,#1998,.T.); +#4617=EDGE_CURVE('',#3597,#3600,#1999,.T.); +#4618=EDGE_CURVE('',#3598,#3601,#2000,.T.); +#4619=EDGE_CURVE('',#3602,#3603,#2001,.T.); +#4620=EDGE_CURVE('',#3603,#3604,#2002,.T.); +#4621=EDGE_CURVE('',#3605,#3604,#2003,.T.); +#4622=EDGE_CURVE('',#3602,#3605,#2004,.T.); +#4623=EDGE_CURVE('',#3606,#3602,#274,.T.); +#4624=EDGE_CURVE('',#3607,#3605,#275,.T.); +#4625=EDGE_CURVE('',#3606,#3607,#2005,.T.); +#4626=EDGE_CURVE('',#3608,#3606,#2006,.T.); +#4627=EDGE_CURVE('',#3609,#3607,#2007,.T.); +#4628=EDGE_CURVE('',#3608,#3609,#2008,.T.); +#4629=EDGE_CURVE('',#3610,#3608,#276,.T.); +#4630=EDGE_CURVE('',#3611,#3609,#277,.T.); +#4631=EDGE_CURVE('',#3610,#3611,#2009,.T.); +#4632=EDGE_CURVE('',#3612,#3610,#2010,.T.); +#4633=EDGE_CURVE('',#3613,#3611,#2011,.T.); +#4634=EDGE_CURVE('',#3612,#3613,#2012,.T.); +#4635=EDGE_CURVE('',#3614,#3612,#278,.T.); +#4636=EDGE_CURVE('',#3615,#3613,#279,.T.); +#4637=EDGE_CURVE('',#3614,#3615,#2013,.T.); +#4638=EDGE_CURVE('',#3616,#3614,#2014,.T.); +#4639=EDGE_CURVE('',#3617,#3615,#2015,.T.); +#4640=EDGE_CURVE('',#3616,#3617,#2016,.T.); +#4641=EDGE_CURVE('',#3603,#3616,#280,.T.); +#4642=EDGE_CURVE('',#3604,#3617,#281,.T.); +#4643=EDGE_CURVE('',#3618,#3619,#2017,.T.); +#4644=EDGE_CURVE('',#3618,#3620,#2018,.T.); +#4645=EDGE_CURVE('',#3621,#3620,#2019,.T.); +#4646=EDGE_CURVE('',#3619,#3621,#2020,.T.); +#4647=EDGE_CURVE('',#3619,#3622,#2021,.T.); +#4648=EDGE_CURVE('',#3623,#3621,#2022,.T.); +#4649=EDGE_CURVE('',#3622,#3623,#2023,.T.); +#4650=EDGE_CURVE('',#3622,#3624,#2024,.T.); +#4651=EDGE_CURVE('',#3625,#3623,#2025,.T.); +#4652=EDGE_CURVE('',#3624,#3625,#2026,.T.); +#4653=EDGE_CURVE('',#3624,#3626,#2027,.T.); +#4654=EDGE_CURVE('',#3627,#3625,#2028,.T.); +#4655=EDGE_CURVE('',#3626,#3627,#2029,.T.); +#4656=EDGE_CURVE('',#3628,#3626,#2030,.T.); +#4657=EDGE_CURVE('',#3629,#3627,#2031,.T.); +#4658=EDGE_CURVE('',#3628,#3629,#2032,.T.); +#4659=EDGE_CURVE('',#3630,#3628,#2033,.T.); +#4660=EDGE_CURVE('',#3631,#3629,#2034,.T.); +#4661=EDGE_CURVE('',#3630,#3631,#2035,.T.); +#4662=EDGE_CURVE('',#3632,#3630,#2036,.T.); +#4663=EDGE_CURVE('',#3633,#3631,#2037,.T.); +#4664=EDGE_CURVE('',#3632,#3633,#2038,.T.); +#4665=EDGE_CURVE('',#3634,#3632,#2039,.T.); +#4666=EDGE_CURVE('',#3635,#3633,#2040,.T.); +#4667=EDGE_CURVE('',#3634,#3635,#2041,.T.); +#4668=EDGE_CURVE('',#3636,#3634,#2042,.T.); +#4669=EDGE_CURVE('',#3637,#3635,#2043,.T.); +#4670=EDGE_CURVE('',#3636,#3637,#2044,.T.); +#4671=EDGE_CURVE('',#3638,#3636,#2045,.T.); +#4672=EDGE_CURVE('',#3639,#3637,#2046,.T.); +#4673=EDGE_CURVE('',#3638,#3639,#2047,.T.); +#4674=EDGE_CURVE('',#3640,#3638,#2048,.T.); +#4675=EDGE_CURVE('',#3641,#3639,#2049,.T.); +#4676=EDGE_CURVE('',#3640,#3641,#2050,.T.); +#4677=EDGE_CURVE('',#3640,#3618,#2051,.T.); +#4678=EDGE_CURVE('',#3620,#3641,#2052,.T.); +#4679=EDGE_CURVE('',#3642,#3643,#2053,.T.); +#4680=EDGE_CURVE('',#3642,#3644,#2054,.T.); +#4681=EDGE_CURVE('',#3645,#3644,#2055,.T.); +#4682=EDGE_CURVE('',#3643,#3645,#2056,.T.); +#4683=EDGE_CURVE('',#3643,#3646,#2057,.T.); +#4684=EDGE_CURVE('',#3647,#3645,#2058,.T.); +#4685=EDGE_CURVE('',#3646,#3647,#2059,.T.); +#4686=EDGE_CURVE('',#3646,#3648,#2060,.T.); +#4687=EDGE_CURVE('',#3649,#3647,#2061,.T.); +#4688=EDGE_CURVE('',#3648,#3649,#2062,.T.); +#4689=EDGE_CURVE('',#3648,#3650,#2063,.T.); +#4690=EDGE_CURVE('',#3651,#3649,#2064,.T.); +#4691=EDGE_CURVE('',#3650,#3651,#2065,.T.); +#4692=EDGE_CURVE('',#3650,#3652,#2066,.T.); +#4693=EDGE_CURVE('',#3653,#3651,#2067,.T.); +#4694=EDGE_CURVE('',#3652,#3653,#2068,.T.); +#4695=EDGE_CURVE('',#3652,#3654,#2069,.T.); +#4696=EDGE_CURVE('',#3655,#3653,#2070,.T.); +#4697=EDGE_CURVE('',#3654,#3655,#2071,.T.); +#4698=EDGE_CURVE('',#3656,#3654,#2072,.T.); +#4699=EDGE_CURVE('',#3657,#3655,#2073,.T.); +#4700=EDGE_CURVE('',#3656,#3657,#2074,.T.); +#4701=EDGE_CURVE('',#3658,#3656,#2075,.T.); +#4702=EDGE_CURVE('',#3659,#3657,#2076,.T.); +#4703=EDGE_CURVE('',#3658,#3659,#2077,.T.); +#4704=EDGE_CURVE('',#3660,#3658,#2078,.T.); +#4705=EDGE_CURVE('',#3661,#3659,#2079,.T.); +#4706=EDGE_CURVE('',#3660,#3661,#2080,.T.); +#4707=EDGE_CURVE('',#3662,#3660,#2081,.T.); +#4708=EDGE_CURVE('',#3663,#3661,#2082,.T.); +#4709=EDGE_CURVE('',#3662,#3663,#2083,.T.); +#4710=EDGE_CURVE('',#3664,#3662,#2084,.T.); +#4711=EDGE_CURVE('',#3665,#3663,#2085,.T.); +#4712=EDGE_CURVE('',#3664,#3665,#2086,.T.); +#4713=EDGE_CURVE('',#3664,#3642,#2087,.T.); +#4714=EDGE_CURVE('',#3644,#3665,#2088,.T.); +#4715=EDGE_CURVE('',#3666,#3667,#2089,.T.); +#4716=EDGE_CURVE('',#3668,#3667,#2090,.T.); +#4717=EDGE_CURVE('',#3669,#3668,#2091,.T.); +#4718=EDGE_CURVE('',#3669,#3670,#2092,.F.); +#4719=EDGE_CURVE('',#3670,#3671,#2093,.T.); +#4720=EDGE_CURVE('',#3671,#3666,#2094,.T.); +#4721=EDGE_CURVE('',#3666,#3672,#2095,.T.); +#4722=EDGE_CURVE('',#3672,#3673,#2096,.F.); +#4723=EDGE_CURVE('',#3673,#3674,#2097,.T.); +#4724=EDGE_CURVE('',#3667,#3674,#2098,.T.); +#4725=EDGE_CURVE('',#3675,#3670,#2099,.T.); +#4726=EDGE_CURVE('',#3676,#3675,#2100,.F.); +#4727=EDGE_CURVE('',#3676,#3677,#2101,.F.); +#4728=EDGE_CURVE('',#3677,#3671,#2102,.T.); +#4729=EDGE_CURVE('',#3677,#3672,#2103,.F.); +#4730=EDGE_CURVE('',#3673,#3676,#2104,.T.); +#4731=EDGE_CURVE('',#3678,#3679,#2105,.T.); +#4732=EDGE_CURVE('',#3680,#3678,#2106,.T.); +#4733=EDGE_CURVE('',#3680,#3681,#2107,.T.); +#4734=EDGE_CURVE('',#3681,#3679,#2108,.F.); +#4735=EDGE_CURVE('',#3681,#3675,#2109,.T.); +#4736=EDGE_CURVE('',#3680,#3674,#2110,.T.); +#4737=EDGE_CURVE('',#3679,#3669,#2111,.T.); +#4738=EDGE_CURVE('',#3668,#3678,#2112,.T.); +#4739=EDGE_CURVE('',#3682,#3683,#23,.T.); +#4740=EDGE_CURVE('',#3683,#3684,#2113,.T.); +#4741=EDGE_CURVE('',#3684,#3685,#282,.T.); +#4742=EDGE_CURVE('',#3685,#3686,#2114,.T.); +#4743=EDGE_CURVE('',#3686,#3682,#19,.T.); +#4744=EDGE_CURVE('',#3686,#3687,#24,.T.); +#4745=EDGE_CURVE('',#3687,#3688,#2115,.T.); +#4746=EDGE_CURVE('',#3688,#3689,#25,.T.); +#4747=EDGE_CURVE('',#3689,#3682,#2116,.T.); +#4748=EDGE_CURVE('',#3690,#3685,#2117,.T.); +#4749=EDGE_CURVE('',#3691,#3690,#2118,.T.); +#4750=EDGE_CURVE('',#3692,#3691,#26,.T.); +#4751=EDGE_CURVE('',#3687,#3692,#2119,.T.); +#4752=EDGE_CURVE('',#3684,#3693,#2120,.T.); +#4753=EDGE_CURVE('',#3694,#3693,#283,.T.); +#4754=EDGE_CURVE('',#3694,#3695,#2121,.T.); +#4755=EDGE_CURVE('',#3696,#3695,#284,.T.); +#4756=EDGE_CURVE('',#3696,#3697,#2122,.T.); +#4757=EDGE_CURVE('',#3690,#3697,#285,.T.); +#4758=EDGE_CURVE('',#3698,#3691,#20,.T.); +#4759=EDGE_CURVE('',#3697,#3699,#2123,.T.); +#4760=EDGE_CURVE('',#3699,#3698,#27,.T.); +#4761=EDGE_CURVE('',#3698,#3700,#2124,.T.); +#4762=EDGE_CURVE('',#3700,#3701,#28,.T.); +#4763=EDGE_CURVE('',#3701,#3692,#2125,.T.); +#4764=EDGE_CURVE('',#3695,#3702,#2126,.T.); +#4765=EDGE_CURVE('',#3702,#3703,#29,.T.); +#4766=EDGE_CURVE('',#3703,#3704,#21,.T.); +#4767=EDGE_CURVE('',#3704,#3705,#30,.T.); +#4768=EDGE_CURVE('',#3705,#3696,#2127,.T.); +#4769=EDGE_CURVE('',#3703,#3706,#2128,.T.); +#4770=EDGE_CURVE('',#3706,#3707,#31,.T.); +#4771=EDGE_CURVE('',#3707,#3704,#2129,.T.); +#4772=EDGE_CURVE('',#3708,#3694,#2130,.T.); +#4773=EDGE_CURVE('',#3702,#3708,#2131,.T.); +#4774=EDGE_CURVE('',#3699,#3705,#2132,.T.); +#4775=EDGE_CURVE('',#3693,#3709,#2133,.T.); +#4776=EDGE_CURVE('',#3709,#3710,#32,.T.); +#4777=EDGE_CURVE('',#3710,#3711,#22,.T.); +#4778=EDGE_CURVE('',#3711,#3708,#33,.T.); +#4779=EDGE_CURVE('',#3710,#3712,#2134,.T.); +#4780=EDGE_CURVE('',#3712,#3713,#34,.T.); +#4781=EDGE_CURVE('',#3713,#3711,#2135,.T.); +#4782=EDGE_CURVE('',#3706,#3713,#2136,.T.); +#4783=EDGE_CURVE('',#3712,#3689,#2137,.T.); +#4784=EDGE_CURVE('',#3688,#3701,#2138,.T.); +#4785=EDGE_CURVE('',#3700,#3707,#2139,.T.); +#4786=EDGE_CURVE('',#3709,#3683,#2140,.T.); +#4787=ORIENTED_EDGE('',*,*,#3714,.T.); +#4788=ORIENTED_EDGE('',*,*,#3715,.T.); +#4789=ORIENTED_EDGE('',*,*,#3716,.T.); +#4790=ORIENTED_EDGE('',*,*,#3717,.T.); +#4791=ORIENTED_EDGE('',*,*,#3718,.T.); +#4792=ORIENTED_EDGE('',*,*,#3719,.T.); +#4793=ORIENTED_EDGE('',*,*,#3720,.T.); +#4794=ORIENTED_EDGE('',*,*,#3721,.T.); +#4795=ORIENTED_EDGE('',*,*,#3722,.T.); +#4796=ORIENTED_EDGE('',*,*,#3723,.T.); +#4797=ORIENTED_EDGE('',*,*,#3724,.T.); +#4798=ORIENTED_EDGE('',*,*,#3725,.T.); +#4799=ORIENTED_EDGE('',*,*,#3726,.T.); +#4800=ORIENTED_EDGE('',*,*,#3727,.T.); +#4801=ORIENTED_EDGE('',*,*,#3728,.T.); +#4802=ORIENTED_EDGE('',*,*,#3729,.T.); +#4803=ORIENTED_EDGE('',*,*,#3730,.T.); +#4804=ORIENTED_EDGE('',*,*,#3731,.T.); +#4805=ORIENTED_EDGE('',*,*,#3732,.T.); +#4806=ORIENTED_EDGE('',*,*,#3733,.T.); +#4807=ORIENTED_EDGE('',*,*,#3734,.T.); +#4808=ORIENTED_EDGE('',*,*,#3735,.T.); +#4809=ORIENTED_EDGE('',*,*,#3736,.T.); +#4810=ORIENTED_EDGE('',*,*,#3737,.T.); +#4811=ORIENTED_EDGE('',*,*,#3738,.F.); +#4812=ORIENTED_EDGE('',*,*,#3739,.F.); +#4813=ORIENTED_EDGE('',*,*,#3740,.T.); +#4814=ORIENTED_EDGE('',*,*,#3741,.T.); +#4815=ORIENTED_EDGE('',*,*,#3742,.F.); +#4816=ORIENTED_EDGE('',*,*,#3743,.F.); +#4817=ORIENTED_EDGE('',*,*,#3744,.F.); +#4818=ORIENTED_EDGE('',*,*,#3739,.T.); +#4819=ORIENTED_EDGE('',*,*,#3745,.F.); +#4820=ORIENTED_EDGE('',*,*,#3746,.F.); +#4821=ORIENTED_EDGE('',*,*,#3747,.T.); +#4822=ORIENTED_EDGE('',*,*,#3743,.T.); +#4823=ORIENTED_EDGE('',*,*,#3748,.F.); +#4824=ORIENTED_EDGE('',*,*,#3749,.F.); +#4825=ORIENTED_EDGE('',*,*,#3750,.F.); +#4826=ORIENTED_EDGE('',*,*,#3746,.T.); +#4827=ORIENTED_EDGE('',*,*,#3751,.F.); +#4828=ORIENTED_EDGE('',*,*,#3752,.F.); +#4829=ORIENTED_EDGE('',*,*,#3753,.T.); +#4830=ORIENTED_EDGE('',*,*,#3749,.T.); +#4831=ORIENTED_EDGE('',*,*,#3754,.F.); +#4832=ORIENTED_EDGE('',*,*,#3755,.F.); +#4833=ORIENTED_EDGE('',*,*,#3756,.F.); +#4834=ORIENTED_EDGE('',*,*,#3752,.T.); +#4835=ORIENTED_EDGE('',*,*,#3757,.F.); +#4836=ORIENTED_EDGE('',*,*,#3758,.F.); +#4837=ORIENTED_EDGE('',*,*,#3759,.T.); +#4838=ORIENTED_EDGE('',*,*,#3755,.T.); +#4839=ORIENTED_EDGE('',*,*,#3760,.F.); +#4840=ORIENTED_EDGE('',*,*,#3741,.F.); +#4841=ORIENTED_EDGE('',*,*,#3761,.F.); +#4842=ORIENTED_EDGE('',*,*,#3758,.T.); +#4843=ORIENTED_EDGE('',*,*,#3761,.T.); +#4844=ORIENTED_EDGE('',*,*,#3740,.F.); +#4845=ORIENTED_EDGE('',*,*,#3744,.T.); +#4846=ORIENTED_EDGE('',*,*,#3747,.F.); +#4847=ORIENTED_EDGE('',*,*,#3750,.T.); +#4848=ORIENTED_EDGE('',*,*,#3753,.F.); +#4849=ORIENTED_EDGE('',*,*,#3756,.T.); +#4850=ORIENTED_EDGE('',*,*,#3759,.F.); +#4851=ORIENTED_EDGE('',*,*,#3762,.F.); +#4852=ORIENTED_EDGE('',*,*,#3763,.T.); +#4853=ORIENTED_EDGE('',*,*,#3729,.F.); +#4854=ORIENTED_EDGE('',*,*,#3764,.F.); +#4855=ORIENTED_EDGE('',*,*,#3765,.F.); +#4856=ORIENTED_EDGE('',*,*,#3764,.T.); +#4857=ORIENTED_EDGE('',*,*,#3728,.F.); +#4858=ORIENTED_EDGE('',*,*,#3766,.F.); +#4859=ORIENTED_EDGE('',*,*,#3767,.F.); +#4860=ORIENTED_EDGE('',*,*,#3766,.T.); +#4861=ORIENTED_EDGE('',*,*,#3726,.F.); +#4862=ORIENTED_EDGE('',*,*,#3768,.F.); +#4863=ORIENTED_EDGE('',*,*,#3769,.F.); +#4864=ORIENTED_EDGE('',*,*,#3768,.T.); +#4865=ORIENTED_EDGE('',*,*,#3723,.F.); +#4866=ORIENTED_EDGE('',*,*,#3770,.F.); +#4867=ORIENTED_EDGE('',*,*,#3771,.F.); +#4868=ORIENTED_EDGE('',*,*,#3770,.T.); +#4869=ORIENTED_EDGE('',*,*,#3722,.F.); +#4870=ORIENTED_EDGE('',*,*,#3772,.F.); +#4871=ORIENTED_EDGE('',*,*,#3773,.F.); +#4872=ORIENTED_EDGE('',*,*,#3772,.T.); +#4873=ORIENTED_EDGE('',*,*,#3720,.F.); +#4874=ORIENTED_EDGE('',*,*,#3774,.F.); +#4875=ORIENTED_EDGE('',*,*,#3775,.F.); +#4876=ORIENTED_EDGE('',*,*,#3774,.T.); +#4877=ORIENTED_EDGE('',*,*,#3719,.F.); +#4878=ORIENTED_EDGE('',*,*,#3776,.F.); +#4879=ORIENTED_EDGE('',*,*,#3777,.F.); +#4880=ORIENTED_EDGE('',*,*,#3776,.T.); +#4881=ORIENTED_EDGE('',*,*,#3716,.F.); +#4882=ORIENTED_EDGE('',*,*,#3778,.F.); +#4883=ORIENTED_EDGE('',*,*,#3779,.F.); +#4884=ORIENTED_EDGE('',*,*,#3778,.T.); +#4885=ORIENTED_EDGE('',*,*,#3715,.F.); +#4886=ORIENTED_EDGE('',*,*,#3780,.F.); +#4887=ORIENTED_EDGE('',*,*,#3781,.F.); +#4888=ORIENTED_EDGE('',*,*,#3780,.T.); +#4889=ORIENTED_EDGE('',*,*,#3714,.F.); +#4890=ORIENTED_EDGE('',*,*,#3782,.F.); +#4891=ORIENTED_EDGE('',*,*,#3783,.F.); +#4892=ORIENTED_EDGE('',*,*,#3782,.T.); +#4893=ORIENTED_EDGE('',*,*,#3718,.F.); +#4894=ORIENTED_EDGE('',*,*,#3784,.F.); +#4895=ORIENTED_EDGE('',*,*,#3785,.F.); +#4896=ORIENTED_EDGE('',*,*,#3784,.T.); +#4897=ORIENTED_EDGE('',*,*,#3717,.F.); +#4898=ORIENTED_EDGE('',*,*,#3786,.F.); +#4899=ORIENTED_EDGE('',*,*,#3787,.F.); +#4900=ORIENTED_EDGE('',*,*,#3786,.T.); +#4901=ORIENTED_EDGE('',*,*,#3721,.F.); +#4902=ORIENTED_EDGE('',*,*,#3788,.F.); +#4903=ORIENTED_EDGE('',*,*,#3789,.F.); +#4904=ORIENTED_EDGE('',*,*,#3788,.T.); +#4905=ORIENTED_EDGE('',*,*,#3725,.F.); +#4906=ORIENTED_EDGE('',*,*,#3790,.F.); +#4907=ORIENTED_EDGE('',*,*,#3791,.F.); +#4908=ORIENTED_EDGE('',*,*,#3790,.T.); +#4909=ORIENTED_EDGE('',*,*,#3724,.F.); +#4910=ORIENTED_EDGE('',*,*,#3792,.F.); +#4911=ORIENTED_EDGE('',*,*,#3793,.F.); +#4912=ORIENTED_EDGE('',*,*,#3792,.T.); +#4913=ORIENTED_EDGE('',*,*,#3727,.F.); +#4914=ORIENTED_EDGE('',*,*,#3794,.F.); +#4915=ORIENTED_EDGE('',*,*,#3795,.F.); +#4916=ORIENTED_EDGE('',*,*,#3794,.T.); +#4917=ORIENTED_EDGE('',*,*,#3731,.F.); +#4918=ORIENTED_EDGE('',*,*,#3796,.F.); +#4919=ORIENTED_EDGE('',*,*,#3797,.F.); +#4920=ORIENTED_EDGE('',*,*,#3796,.T.); +#4921=ORIENTED_EDGE('',*,*,#3730,.F.); +#4922=ORIENTED_EDGE('',*,*,#3798,.F.); +#4923=ORIENTED_EDGE('',*,*,#3799,.F.); +#4924=ORIENTED_EDGE('',*,*,#3798,.T.); +#4925=ORIENTED_EDGE('',*,*,#3733,.F.); +#4926=ORIENTED_EDGE('',*,*,#3800,.F.); +#4927=ORIENTED_EDGE('',*,*,#3801,.F.); +#4928=ORIENTED_EDGE('',*,*,#3802,.T.); +#4929=ORIENTED_EDGE('',*,*,#3734,.F.); +#4930=ORIENTED_EDGE('',*,*,#3803,.F.); +#4931=ORIENTED_EDGE('',*,*,#3804,.F.); +#4932=ORIENTED_EDGE('',*,*,#3803,.T.); +#4933=ORIENTED_EDGE('',*,*,#3732,.F.); +#4934=ORIENTED_EDGE('',*,*,#3763,.F.); +#4935=ORIENTED_EDGE('',*,*,#3805,.F.); +#4936=ORIENTED_EDGE('',*,*,#3806,.T.); +#4937=ORIENTED_EDGE('',*,*,#3807,.F.); +#4938=ORIENTED_EDGE('',*,*,#3808,.F.); +#4939=ORIENTED_EDGE('',*,*,#3809,.F.); +#4940=ORIENTED_EDGE('',*,*,#3808,.T.); +#4941=ORIENTED_EDGE('',*,*,#3810,.F.); +#4942=ORIENTED_EDGE('',*,*,#3811,.F.); +#4943=ORIENTED_EDGE('',*,*,#3812,.F.); +#4944=ORIENTED_EDGE('',*,*,#3813,.T.); +#4945=ORIENTED_EDGE('',*,*,#3814,.F.); +#4946=ORIENTED_EDGE('',*,*,#3815,.F.); +#4947=ORIENTED_EDGE('',*,*,#3816,.F.); +#4948=ORIENTED_EDGE('',*,*,#3817,.T.); +#4949=ORIENTED_EDGE('',*,*,#3818,.F.); +#4950=ORIENTED_EDGE('',*,*,#3819,.F.); +#4951=ORIENTED_EDGE('',*,*,#3820,.F.); +#4952=ORIENTED_EDGE('',*,*,#3819,.T.); +#4953=ORIENTED_EDGE('',*,*,#3821,.F.); +#4954=ORIENTED_EDGE('',*,*,#3822,.F.); +#4955=ORIENTED_EDGE('',*,*,#3823,.F.); +#4956=ORIENTED_EDGE('',*,*,#3824,.T.); +#4957=ORIENTED_EDGE('',*,*,#3825,.F.); +#4958=ORIENTED_EDGE('',*,*,#3826,.F.); +#4959=ORIENTED_EDGE('',*,*,#3827,.F.); +#4960=ORIENTED_EDGE('',*,*,#3826,.T.); +#4961=ORIENTED_EDGE('',*,*,#3828,.F.); +#4962=ORIENTED_EDGE('',*,*,#3829,.F.); +#4963=ORIENTED_EDGE('',*,*,#3830,.F.); +#4964=ORIENTED_EDGE('',*,*,#3831,.T.); +#4965=ORIENTED_EDGE('',*,*,#3832,.F.); +#4966=ORIENTED_EDGE('',*,*,#3833,.F.); +#4967=ORIENTED_EDGE('',*,*,#3834,.F.); +#4968=ORIENTED_EDGE('',*,*,#3833,.T.); +#4969=ORIENTED_EDGE('',*,*,#3835,.F.); +#4970=ORIENTED_EDGE('',*,*,#3836,.F.); +#4971=ORIENTED_EDGE('',*,*,#3837,.F.); +#4972=ORIENTED_EDGE('',*,*,#3838,.T.); +#4973=ORIENTED_EDGE('',*,*,#3839,.F.); +#4974=ORIENTED_EDGE('',*,*,#3840,.F.); +#4975=ORIENTED_EDGE('',*,*,#3841,.F.); +#4976=ORIENTED_EDGE('',*,*,#3840,.T.); +#4977=ORIENTED_EDGE('',*,*,#3842,.F.); +#4978=ORIENTED_EDGE('',*,*,#3843,.F.); +#4979=ORIENTED_EDGE('',*,*,#3842,.T.); +#4980=ORIENTED_EDGE('',*,*,#3839,.T.); +#4981=ORIENTED_EDGE('',*,*,#3844,.T.); +#4982=ORIENTED_EDGE('',*,*,#3845,.T.); +#4983=ORIENTED_EDGE('',*,*,#3846,.T.); +#4984=ORIENTED_EDGE('',*,*,#3847,.T.); +#4985=ORIENTED_EDGE('',*,*,#3848,.T.); +#4986=ORIENTED_EDGE('',*,*,#3849,.T.); +#4987=ORIENTED_EDGE('',*,*,#3850,.T.); +#4988=ORIENTED_EDGE('',*,*,#3835,.T.); +#4989=ORIENTED_EDGE('',*,*,#3832,.T.); +#4990=ORIENTED_EDGE('',*,*,#3851,.T.); +#4991=ORIENTED_EDGE('',*,*,#3852,.T.); +#4992=ORIENTED_EDGE('',*,*,#3853,.T.); +#4993=ORIENTED_EDGE('',*,*,#3854,.T.); +#4994=ORIENTED_EDGE('',*,*,#3855,.T.); +#4995=ORIENTED_EDGE('',*,*,#3856,.T.); +#4996=ORIENTED_EDGE('',*,*,#3828,.T.); +#4997=ORIENTED_EDGE('',*,*,#3825,.T.); +#4998=ORIENTED_EDGE('',*,*,#3857,.T.); +#4999=ORIENTED_EDGE('',*,*,#3821,.T.); +#5000=ORIENTED_EDGE('',*,*,#3818,.T.); +#5001=ORIENTED_EDGE('',*,*,#3858,.T.); +#5002=ORIENTED_EDGE('',*,*,#3859,.T.); +#5003=ORIENTED_EDGE('',*,*,#3860,.T.); +#5004=ORIENTED_EDGE('',*,*,#3861,.T.); +#5005=ORIENTED_EDGE('',*,*,#3862,.T.); +#5006=ORIENTED_EDGE('',*,*,#3863,.T.); +#5007=ORIENTED_EDGE('',*,*,#3814,.T.); +#5008=ORIENTED_EDGE('',*,*,#3864,.T.); +#5009=ORIENTED_EDGE('',*,*,#3865,.T.); +#5010=ORIENTED_EDGE('',*,*,#3866,.T.); +#5011=ORIENTED_EDGE('',*,*,#3867,.T.); +#5012=ORIENTED_EDGE('',*,*,#3868,.T.); +#5013=ORIENTED_EDGE('',*,*,#3869,.T.); +#5014=ORIENTED_EDGE('',*,*,#3810,.T.); +#5015=ORIENTED_EDGE('',*,*,#3807,.T.); +#5016=ORIENTED_EDGE('',*,*,#3870,.T.); +#5017=ORIENTED_EDGE('',*,*,#3738,.T.); +#5018=ORIENTED_EDGE('',*,*,#3760,.T.); +#5019=ORIENTED_EDGE('',*,*,#3757,.T.); +#5020=ORIENTED_EDGE('',*,*,#3754,.T.); +#5021=ORIENTED_EDGE('',*,*,#3751,.T.); +#5022=ORIENTED_EDGE('',*,*,#3748,.T.); +#5023=ORIENTED_EDGE('',*,*,#3745,.T.); +#5024=ORIENTED_EDGE('',*,*,#3742,.T.); +#5025=ORIENTED_EDGE('',*,*,#3871,.T.); +#5026=ORIENTED_EDGE('',*,*,#3872,.T.); +#5027=ORIENTED_EDGE('',*,*,#3873,.T.); +#5028=ORIENTED_EDGE('',*,*,#3874,.T.); +#5029=ORIENTED_EDGE('',*,*,#3875,.T.); +#5030=ORIENTED_EDGE('',*,*,#3823,.T.); +#5031=ORIENTED_EDGE('',*,*,#3827,.T.); +#5032=ORIENTED_EDGE('',*,*,#3876,.F.); +#5033=ORIENTED_EDGE('',*,*,#3877,.T.); +#5034=ORIENTED_EDGE('',*,*,#3878,.T.); +#5035=ORIENTED_EDGE('',*,*,#3879,.F.); +#5036=ORIENTED_EDGE('',*,*,#3880,.F.); +#5037=ORIENTED_EDGE('',*,*,#3881,.T.); +#5038=ORIENTED_EDGE('',*,*,#3882,.T.); +#5039=ORIENTED_EDGE('',*,*,#3883,.F.); +#5040=ORIENTED_EDGE('',*,*,#3830,.T.); +#5041=ORIENTED_EDGE('',*,*,#3834,.T.); +#5042=ORIENTED_EDGE('',*,*,#3884,.F.); +#5043=ORIENTED_EDGE('',*,*,#3885,.F.); +#5044=ORIENTED_EDGE('',*,*,#3886,.T.); +#5045=ORIENTED_EDGE('',*,*,#3887,.T.); +#5046=ORIENTED_EDGE('',*,*,#3888,.F.); +#5047=ORIENTED_EDGE('',*,*,#3837,.T.); +#5048=ORIENTED_EDGE('',*,*,#3841,.T.); +#5049=ORIENTED_EDGE('',*,*,#3889,.T.); +#5050=ORIENTED_EDGE('',*,*,#3890,.F.); +#5051=ORIENTED_EDGE('',*,*,#3891,.T.); +#5052=ORIENTED_EDGE('',*,*,#3892,.T.); +#5053=ORIENTED_EDGE('',*,*,#3893,.T.); +#5054=ORIENTED_EDGE('',*,*,#3894,.F.); +#5055=ORIENTED_EDGE('',*,*,#3895,.T.); +#5056=ORIENTED_EDGE('',*,*,#3896,.F.); +#5057=ORIENTED_EDGE('',*,*,#3897,.T.); +#5058=ORIENTED_EDGE('',*,*,#3898,.F.); +#5059=ORIENTED_EDGE('',*,*,#3899,.T.); +#5060=ORIENTED_EDGE('',*,*,#3900,.T.); +#5061=ORIENTED_EDGE('',*,*,#3901,.T.); +#5062=ORIENTED_EDGE('',*,*,#3902,.T.); +#5063=ORIENTED_EDGE('',*,*,#3816,.T.); +#5064=ORIENTED_EDGE('',*,*,#3820,.T.); +#5065=ORIENTED_EDGE('',*,*,#3903,.T.); +#5066=ORIENTED_EDGE('',*,*,#3904,.T.); +#5067=ORIENTED_EDGE('',*,*,#3905,.T.); +#5068=ORIENTED_EDGE('',*,*,#3906,.F.); +#5069=ORIENTED_EDGE('',*,*,#3907,.T.); +#5070=ORIENTED_EDGE('',*,*,#3908,.T.); +#5071=ORIENTED_EDGE('',*,*,#3909,.T.); +#5072=ORIENTED_EDGE('',*,*,#3910,.T.); +#5073=ORIENTED_EDGE('',*,*,#3911,.T.); +#5074=ORIENTED_EDGE('',*,*,#3912,.T.); +#5075=ORIENTED_EDGE('',*,*,#3913,.T.); +#5076=ORIENTED_EDGE('',*,*,#3805,.T.); +#5077=ORIENTED_EDGE('',*,*,#3809,.T.); +#5078=ORIENTED_EDGE('',*,*,#3914,.F.); +#5079=ORIENTED_EDGE('',*,*,#3915,.T.); +#5080=ORIENTED_EDGE('',*,*,#3916,.T.); +#5081=ORIENTED_EDGE('',*,*,#3917,.F.); +#5082=ORIENTED_EDGE('',*,*,#3918,.T.); +#5083=ORIENTED_EDGE('',*,*,#3919,.T.); +#5084=ORIENTED_EDGE('',*,*,#3920,.F.); +#5085=ORIENTED_EDGE('',*,*,#3921,.T.); +#5086=ORIENTED_EDGE('',*,*,#3922,.T.); +#5087=ORIENTED_EDGE('',*,*,#3923,.F.); +#5088=ORIENTED_EDGE('',*,*,#3812,.T.); +#5089=ORIENTED_EDGE('',*,*,#3924,.F.); +#5090=ORIENTED_EDGE('',*,*,#3925,.T.); +#5091=ORIENTED_EDGE('',*,*,#3926,.T.); +#5092=ORIENTED_EDGE('',*,*,#3927,.F.); +#5093=ORIENTED_EDGE('',*,*,#3928,.T.); +#5094=ORIENTED_EDGE('',*,*,#3929,.T.); +#5095=ORIENTED_EDGE('',*,*,#3930,.F.); +#5096=ORIENTED_EDGE('',*,*,#3931,.T.); +#5097=ORIENTED_EDGE('',*,*,#3932,.T.); +#5098=ORIENTED_EDGE('',*,*,#3933,.F.); +#5099=ORIENTED_EDGE('',*,*,#3804,.T.); +#5100=ORIENTED_EDGE('',*,*,#3795,.T.); +#5101=ORIENTED_EDGE('',*,*,#3797,.T.); +#5102=ORIENTED_EDGE('',*,*,#3762,.T.); +#5103=ORIENTED_EDGE('',*,*,#3765,.T.); +#5104=ORIENTED_EDGE('',*,*,#3793,.T.); +#5105=ORIENTED_EDGE('',*,*,#3767,.T.); +#5106=ORIENTED_EDGE('',*,*,#3789,.T.); +#5107=ORIENTED_EDGE('',*,*,#3791,.T.); +#5108=ORIENTED_EDGE('',*,*,#3769,.T.); +#5109=ORIENTED_EDGE('',*,*,#3771,.T.); +#5110=ORIENTED_EDGE('',*,*,#3787,.T.); +#5111=ORIENTED_EDGE('',*,*,#3773,.T.); +#5112=ORIENTED_EDGE('',*,*,#3775,.T.); +#5113=ORIENTED_EDGE('',*,*,#3783,.T.); +#5114=ORIENTED_EDGE('',*,*,#3785,.T.); +#5115=ORIENTED_EDGE('',*,*,#3777,.T.); +#5116=ORIENTED_EDGE('',*,*,#3779,.T.); +#5117=ORIENTED_EDGE('',*,*,#3781,.T.); +#5118=ORIENTED_EDGE('',*,*,#3934,.F.); +#5119=ORIENTED_EDGE('',*,*,#3903,.F.); +#5120=ORIENTED_EDGE('',*,*,#3822,.T.); +#5121=ORIENTED_EDGE('',*,*,#3857,.F.); +#5122=ORIENTED_EDGE('',*,*,#3824,.F.); +#5123=ORIENTED_EDGE('',*,*,#3875,.F.); +#5124=ORIENTED_EDGE('',*,*,#3935,.T.); +#5125=ORIENTED_EDGE('',*,*,#3936,.F.); +#5126=ORIENTED_EDGE('',*,*,#3937,.F.); +#5127=ORIENTED_EDGE('',*,*,#3871,.F.); +#5128=ORIENTED_EDGE('',*,*,#3938,.T.); +#5129=ORIENTED_EDGE('',*,*,#3939,.F.); +#5130=ORIENTED_EDGE('',*,*,#3940,.F.); +#5131=ORIENTED_EDGE('',*,*,#3932,.F.); +#5132=ORIENTED_EDGE('',*,*,#3941,.T.); +#5133=ORIENTED_EDGE('',*,*,#3858,.F.); +#5134=ORIENTED_EDGE('',*,*,#3817,.F.); +#5135=ORIENTED_EDGE('',*,*,#3942,.T.); +#5136=ORIENTED_EDGE('',*,*,#3943,.F.); +#5137=ORIENTED_EDGE('',*,*,#3944,.F.); +#5138=ORIENTED_EDGE('',*,*,#3945,.F.); +#5139=ORIENTED_EDGE('',*,*,#3946,.F.); +#5140=ORIENTED_EDGE('',*,*,#3929,.F.); +#5141=ORIENTED_EDGE('',*,*,#3947,.T.); +#5142=ORIENTED_EDGE('',*,*,#3859,.F.); +#5143=ORIENTED_EDGE('',*,*,#3941,.F.); +#5144=ORIENTED_EDGE('',*,*,#3931,.F.); +#5145=ORIENTED_EDGE('',*,*,#3948,.F.); +#5146=ORIENTED_EDGE('',*,*,#3949,.F.); +#5147=ORIENTED_EDGE('',*,*,#3950,.F.); +#5148=ORIENTED_EDGE('',*,*,#3926,.F.); +#5149=ORIENTED_EDGE('',*,*,#3951,.T.); +#5150=ORIENTED_EDGE('',*,*,#3860,.F.); +#5151=ORIENTED_EDGE('',*,*,#3947,.F.); +#5152=ORIENTED_EDGE('',*,*,#3928,.F.); +#5153=ORIENTED_EDGE('',*,*,#3952,.F.); +#5154=ORIENTED_EDGE('',*,*,#3953,.F.); +#5155=ORIENTED_EDGE('',*,*,#3954,.T.); +#5156=ORIENTED_EDGE('',*,*,#3861,.F.); +#5157=ORIENTED_EDGE('',*,*,#3951,.F.); +#5158=ORIENTED_EDGE('',*,*,#3925,.F.); +#5159=ORIENTED_EDGE('',*,*,#3955,.F.); +#5160=ORIENTED_EDGE('',*,*,#3922,.F.); +#5161=ORIENTED_EDGE('',*,*,#3956,.T.); +#5162=ORIENTED_EDGE('',*,*,#3866,.F.); +#5163=ORIENTED_EDGE('',*,*,#3957,.F.); +#5164=ORIENTED_EDGE('',*,*,#3958,.F.); +#5165=ORIENTED_EDGE('',*,*,#3959,.F.); +#5166=ORIENTED_EDGE('',*,*,#3960,.F.); +#5167=ORIENTED_EDGE('',*,*,#3961,.F.); +#5168=ORIENTED_EDGE('',*,*,#3919,.F.); +#5169=ORIENTED_EDGE('',*,*,#3962,.T.); +#5170=ORIENTED_EDGE('',*,*,#3867,.F.); +#5171=ORIENTED_EDGE('',*,*,#3956,.F.); +#5172=ORIENTED_EDGE('',*,*,#3921,.F.); +#5173=ORIENTED_EDGE('',*,*,#3963,.F.); +#5174=ORIENTED_EDGE('',*,*,#3964,.F.); +#5175=ORIENTED_EDGE('',*,*,#3965,.F.); +#5176=ORIENTED_EDGE('',*,*,#3916,.F.); +#5177=ORIENTED_EDGE('',*,*,#3966,.T.); +#5178=ORIENTED_EDGE('',*,*,#3868,.F.); +#5179=ORIENTED_EDGE('',*,*,#3962,.F.); +#5180=ORIENTED_EDGE('',*,*,#3918,.F.); +#5181=ORIENTED_EDGE('',*,*,#3967,.F.); +#5182=ORIENTED_EDGE('',*,*,#3968,.F.); +#5183=ORIENTED_EDGE('',*,*,#3969,.F.); +#5184=ORIENTED_EDGE('',*,*,#3811,.T.); +#5185=ORIENTED_EDGE('',*,*,#3869,.F.); +#5186=ORIENTED_EDGE('',*,*,#3966,.F.); +#5187=ORIENTED_EDGE('',*,*,#3915,.F.); +#5188=ORIENTED_EDGE('',*,*,#3970,.T.); +#5189=ORIENTED_EDGE('',*,*,#3971,.F.); +#5190=ORIENTED_EDGE('',*,*,#3972,.F.); +#5191=ORIENTED_EDGE('',*,*,#3899,.F.); +#5192=ORIENTED_EDGE('',*,*,#3973,.T.); +#5193=ORIENTED_EDGE('',*,*,#3974,.F.); +#5194=ORIENTED_EDGE('',*,*,#3975,.F.); +#5195=ORIENTED_EDGE('',*,*,#3889,.F.); +#5196=ORIENTED_EDGE('',*,*,#3843,.T.); +#5197=ORIENTED_EDGE('',*,*,#3870,.F.); +#5198=ORIENTED_EDGE('',*,*,#3806,.F.); +#5199=ORIENTED_EDGE('',*,*,#3913,.F.); +#5200=ORIENTED_EDGE('',*,*,#3976,.F.); +#5201=ORIENTED_EDGE('',*,*,#3887,.F.); +#5202=ORIENTED_EDGE('',*,*,#3977,.T.); +#5203=ORIENTED_EDGE('',*,*,#3844,.F.); +#5204=ORIENTED_EDGE('',*,*,#3838,.F.); +#5205=ORIENTED_EDGE('',*,*,#3978,.T.); +#5206=ORIENTED_EDGE('',*,*,#3979,.F.); +#5207=ORIENTED_EDGE('',*,*,#3980,.F.); +#5208=ORIENTED_EDGE('',*,*,#3981,.F.); +#5209=ORIENTED_EDGE('',*,*,#3982,.T.); +#5210=ORIENTED_EDGE('',*,*,#3845,.F.); +#5211=ORIENTED_EDGE('',*,*,#3977,.F.); +#5212=ORIENTED_EDGE('',*,*,#3886,.F.); +#5213=ORIENTED_EDGE('',*,*,#3983,.F.); +#5214=ORIENTED_EDGE('',*,*,#3882,.F.); +#5215=ORIENTED_EDGE('',*,*,#3984,.T.); +#5216=ORIENTED_EDGE('',*,*,#3851,.F.); +#5217=ORIENTED_EDGE('',*,*,#3831,.F.); +#5218=ORIENTED_EDGE('',*,*,#3985,.T.); +#5219=ORIENTED_EDGE('',*,*,#3986,.F.); +#5220=ORIENTED_EDGE('',*,*,#3987,.F.); +#5221=ORIENTED_EDGE('',*,*,#3988,.F.); +#5222=ORIENTED_EDGE('',*,*,#3989,.T.); +#5223=ORIENTED_EDGE('',*,*,#3852,.F.); +#5224=ORIENTED_EDGE('',*,*,#3984,.F.); +#5225=ORIENTED_EDGE('',*,*,#3881,.F.); +#5226=ORIENTED_EDGE('',*,*,#3990,.F.); +#5227=ORIENTED_EDGE('',*,*,#3878,.F.); +#5228=ORIENTED_EDGE('',*,*,#3991,.T.); +#5229=ORIENTED_EDGE('',*,*,#3855,.F.); +#5230=ORIENTED_EDGE('',*,*,#3992,.F.); +#5231=ORIENTED_EDGE('',*,*,#3993,.F.); +#5232=ORIENTED_EDGE('',*,*,#3994,.F.); +#5233=ORIENTED_EDGE('',*,*,#3995,.F.); +#5234=ORIENTED_EDGE('',*,*,#3996,.F.); +#5235=ORIENTED_EDGE('',*,*,#3829,.T.); +#5236=ORIENTED_EDGE('',*,*,#3856,.F.); +#5237=ORIENTED_EDGE('',*,*,#3991,.F.); +#5238=ORIENTED_EDGE('',*,*,#3877,.F.); +#5239=ORIENTED_EDGE('',*,*,#3997,.F.); +#5240=ORIENTED_EDGE('',*,*,#3998,.F.); +#5241=ORIENTED_EDGE('',*,*,#3999,.T.); +#5242=ORIENTED_EDGE('',*,*,#3735,.F.); +#5243=ORIENTED_EDGE('',*,*,#3999,.F.); +#5244=ORIENTED_EDGE('',*,*,#4000,.F.); +#5245=ORIENTED_EDGE('',*,*,#4001,.F.); +#5246=ORIENTED_EDGE('',*,*,#3736,.F.); +#5247=ORIENTED_EDGE('',*,*,#4001,.T.); +#5248=ORIENTED_EDGE('',*,*,#4002,.F.); +#5249=ORIENTED_EDGE('',*,*,#3997,.T.); +#5250=ORIENTED_EDGE('',*,*,#3737,.F.); +#5251=ORIENTED_EDGE('',*,*,#4003,.F.); +#5252=ORIENTED_EDGE('',*,*,#4004,.F.); +#5253=ORIENTED_EDGE('',*,*,#4005,.F.); +#5254=ORIENTED_EDGE('',*,*,#3800,.T.); +#5255=ORIENTED_EDGE('',*,*,#4006,.F.); +#5256=ORIENTED_EDGE('',*,*,#4005,.T.); +#5257=ORIENTED_EDGE('',*,*,#4007,.F.); +#5258=ORIENTED_EDGE('',*,*,#4003,.T.); +#5259=ORIENTED_EDGE('',*,*,#4006,.T.); +#5260=ORIENTED_EDGE('',*,*,#3802,.F.); +#5261=ORIENTED_EDGE('',*,*,#3872,.F.); +#5262=ORIENTED_EDGE('',*,*,#3937,.T.); +#5263=ORIENTED_EDGE('',*,*,#4008,.F.); +#5264=ORIENTED_EDGE('',*,*,#4009,.F.); +#5265=ORIENTED_EDGE('',*,*,#3873,.F.); +#5266=ORIENTED_EDGE('',*,*,#4009,.T.); +#5267=ORIENTED_EDGE('',*,*,#4010,.F.); +#5268=ORIENTED_EDGE('',*,*,#4011,.F.); +#5269=ORIENTED_EDGE('',*,*,#3874,.F.); +#5270=ORIENTED_EDGE('',*,*,#4011,.T.); +#5271=ORIENTED_EDGE('',*,*,#4012,.F.); +#5272=ORIENTED_EDGE('',*,*,#3938,.F.); +#5273=ORIENTED_EDGE('',*,*,#3954,.F.); +#5274=ORIENTED_EDGE('',*,*,#4013,.F.); +#5275=ORIENTED_EDGE('',*,*,#4014,.T.); +#5276=ORIENTED_EDGE('',*,*,#3862,.F.); +#5277=ORIENTED_EDGE('',*,*,#4014,.F.); +#5278=ORIENTED_EDGE('',*,*,#4015,.F.); +#5279=ORIENTED_EDGE('',*,*,#4016,.F.); +#5280=ORIENTED_EDGE('',*,*,#3815,.T.); +#5281=ORIENTED_EDGE('',*,*,#3863,.F.); +#5282=ORIENTED_EDGE('',*,*,#4017,.T.); +#5283=ORIENTED_EDGE('',*,*,#4018,.F.); +#5284=ORIENTED_EDGE('',*,*,#4019,.T.); +#5285=ORIENTED_EDGE('',*,*,#3864,.F.); +#5286=ORIENTED_EDGE('',*,*,#3813,.F.); +#5287=ORIENTED_EDGE('',*,*,#4019,.F.); +#5288=ORIENTED_EDGE('',*,*,#4020,.F.); +#5289=ORIENTED_EDGE('',*,*,#3957,.T.); +#5290=ORIENTED_EDGE('',*,*,#3865,.F.); +#5291=ORIENTED_EDGE('',*,*,#3900,.F.); +#5292=ORIENTED_EDGE('',*,*,#3972,.T.); +#5293=ORIENTED_EDGE('',*,*,#4021,.F.); +#5294=ORIENTED_EDGE('',*,*,#4022,.F.); +#5295=ORIENTED_EDGE('',*,*,#3901,.F.); +#5296=ORIENTED_EDGE('',*,*,#4022,.T.); +#5297=ORIENTED_EDGE('',*,*,#4023,.F.); +#5298=ORIENTED_EDGE('',*,*,#4024,.F.); +#5299=ORIENTED_EDGE('',*,*,#3902,.F.); +#5300=ORIENTED_EDGE('',*,*,#4024,.T.); +#5301=ORIENTED_EDGE('',*,*,#4025,.F.); +#5302=ORIENTED_EDGE('',*,*,#3973,.F.); +#5303=ORIENTED_EDGE('',*,*,#3982,.F.); +#5304=ORIENTED_EDGE('',*,*,#4026,.F.); +#5305=ORIENTED_EDGE('',*,*,#4027,.F.); +#5306=ORIENTED_EDGE('',*,*,#4028,.T.); +#5307=ORIENTED_EDGE('',*,*,#3846,.F.); +#5308=ORIENTED_EDGE('',*,*,#4027,.T.); +#5309=ORIENTED_EDGE('',*,*,#4029,.F.); +#5310=ORIENTED_EDGE('',*,*,#4030,.T.); +#5311=ORIENTED_EDGE('',*,*,#3847,.F.); +#5312=ORIENTED_EDGE('',*,*,#4028,.F.); +#5313=ORIENTED_EDGE('',*,*,#4030,.F.); +#5314=ORIENTED_EDGE('',*,*,#4031,.F.); +#5315=ORIENTED_EDGE('',*,*,#4032,.T.); +#5316=ORIENTED_EDGE('',*,*,#3848,.F.); +#5317=ORIENTED_EDGE('',*,*,#4032,.F.); +#5318=ORIENTED_EDGE('',*,*,#4033,.F.); +#5319=ORIENTED_EDGE('',*,*,#4034,.T.); +#5320=ORIENTED_EDGE('',*,*,#3849,.F.); +#5321=ORIENTED_EDGE('',*,*,#4034,.F.); +#5322=ORIENTED_EDGE('',*,*,#4035,.F.); +#5323=ORIENTED_EDGE('',*,*,#4036,.F.); +#5324=ORIENTED_EDGE('',*,*,#3836,.T.); +#5325=ORIENTED_EDGE('',*,*,#3850,.F.); +#5326=ORIENTED_EDGE('',*,*,#3989,.F.); +#5327=ORIENTED_EDGE('',*,*,#4037,.F.); +#5328=ORIENTED_EDGE('',*,*,#4038,.F.); +#5329=ORIENTED_EDGE('',*,*,#4039,.T.); +#5330=ORIENTED_EDGE('',*,*,#3853,.F.); +#5331=ORIENTED_EDGE('',*,*,#4038,.T.); +#5332=ORIENTED_EDGE('',*,*,#4040,.F.); +#5333=ORIENTED_EDGE('',*,*,#3992,.T.); +#5334=ORIENTED_EDGE('',*,*,#3854,.F.); +#5335=ORIENTED_EDGE('',*,*,#4039,.F.); +#5336=ORIENTED_EDGE('',*,*,#4041,.F.); +#5337=ORIENTED_EDGE('',*,*,#3884,.T.); +#5338=ORIENTED_EDGE('',*,*,#4036,.T.); +#5339=ORIENTED_EDGE('',*,*,#4042,.F.); +#5340=ORIENTED_EDGE('',*,*,#3980,.T.); +#5341=ORIENTED_EDGE('',*,*,#3885,.T.); +#5342=ORIENTED_EDGE('',*,*,#4041,.T.); +#5343=ORIENTED_EDGE('',*,*,#4043,.F.); +#5344=ORIENTED_EDGE('',*,*,#3994,.T.); +#5345=ORIENTED_EDGE('',*,*,#3876,.T.); +#5346=ORIENTED_EDGE('',*,*,#3996,.T.); +#5347=ORIENTED_EDGE('',*,*,#4044,.F.); +#5348=ORIENTED_EDGE('',*,*,#4045,.F.); +#5349=ORIENTED_EDGE('',*,*,#3879,.T.); +#5350=ORIENTED_EDGE('',*,*,#3990,.T.); +#5351=ORIENTED_EDGE('',*,*,#4046,.F.); +#5352=ORIENTED_EDGE('',*,*,#3987,.T.); +#5353=ORIENTED_EDGE('',*,*,#3880,.T.); +#5354=ORIENTED_EDGE('',*,*,#4045,.T.); +#5355=ORIENTED_EDGE('',*,*,#4047,.F.); +#5356=ORIENTED_EDGE('',*,*,#3891,.F.); +#5357=ORIENTED_EDGE('',*,*,#4048,.T.); +#5358=ORIENTED_EDGE('',*,*,#4049,.F.); +#5359=ORIENTED_EDGE('',*,*,#4050,.F.); +#5360=ORIENTED_EDGE('',*,*,#3892,.F.); +#5361=ORIENTED_EDGE('',*,*,#4050,.T.); +#5362=ORIENTED_EDGE('',*,*,#4051,.F.); +#5363=ORIENTED_EDGE('',*,*,#4052,.F.); +#5364=ORIENTED_EDGE('',*,*,#3893,.F.); +#5365=ORIENTED_EDGE('',*,*,#4052,.T.); +#5366=ORIENTED_EDGE('',*,*,#4053,.F.); +#5367=ORIENTED_EDGE('',*,*,#4054,.F.); +#5368=ORIENTED_EDGE('',*,*,#3894,.T.); +#5369=ORIENTED_EDGE('',*,*,#4054,.T.); +#5370=ORIENTED_EDGE('',*,*,#4055,.F.); +#5371=ORIENTED_EDGE('',*,*,#4056,.F.); +#5372=ORIENTED_EDGE('',*,*,#3895,.F.); +#5373=ORIENTED_EDGE('',*,*,#4056,.T.); +#5374=ORIENTED_EDGE('',*,*,#4057,.F.); +#5375=ORIENTED_EDGE('',*,*,#4058,.F.); +#5376=ORIENTED_EDGE('',*,*,#3896,.T.); +#5377=ORIENTED_EDGE('',*,*,#4058,.T.); +#5378=ORIENTED_EDGE('',*,*,#4059,.F.); +#5379=ORIENTED_EDGE('',*,*,#4060,.F.); +#5380=ORIENTED_EDGE('',*,*,#3897,.F.); +#5381=ORIENTED_EDGE('',*,*,#4060,.T.); +#5382=ORIENTED_EDGE('',*,*,#4061,.F.); +#5383=ORIENTED_EDGE('',*,*,#4062,.F.); +#5384=ORIENTED_EDGE('',*,*,#3898,.T.); +#5385=ORIENTED_EDGE('',*,*,#4062,.T.); +#5386=ORIENTED_EDGE('',*,*,#4063,.F.); +#5387=ORIENTED_EDGE('',*,*,#3935,.F.); +#5388=ORIENTED_EDGE('',*,*,#3983,.T.); +#5389=ORIENTED_EDGE('',*,*,#4064,.F.); +#5390=ORIENTED_EDGE('',*,*,#3985,.F.); +#5391=ORIENTED_EDGE('',*,*,#3883,.T.); +#5392=ORIENTED_EDGE('',*,*,#3976,.T.); +#5393=ORIENTED_EDGE('',*,*,#4065,.F.); +#5394=ORIENTED_EDGE('',*,*,#3978,.F.); +#5395=ORIENTED_EDGE('',*,*,#3888,.T.); +#5396=ORIENTED_EDGE('',*,*,#3890,.T.); +#5397=ORIENTED_EDGE('',*,*,#3975,.T.); +#5398=ORIENTED_EDGE('',*,*,#4066,.F.); +#5399=ORIENTED_EDGE('',*,*,#4048,.F.); +#5400=ORIENTED_EDGE('',*,*,#3952,.T.); +#5401=ORIENTED_EDGE('',*,*,#3924,.T.); +#5402=ORIENTED_EDGE('',*,*,#4016,.T.); +#5403=ORIENTED_EDGE('',*,*,#4067,.F.); +#5404=ORIENTED_EDGE('',*,*,#3948,.T.); +#5405=ORIENTED_EDGE('',*,*,#3927,.T.); +#5406=ORIENTED_EDGE('',*,*,#3950,.T.); +#5407=ORIENTED_EDGE('',*,*,#4068,.F.); +#5408=ORIENTED_EDGE('',*,*,#3944,.T.); +#5409=ORIENTED_EDGE('',*,*,#3930,.T.); +#5410=ORIENTED_EDGE('',*,*,#3946,.T.); +#5411=ORIENTED_EDGE('',*,*,#4069,.F.); +#5412=ORIENTED_EDGE('',*,*,#3939,.T.); +#5413=ORIENTED_EDGE('',*,*,#4012,.T.); +#5414=ORIENTED_EDGE('',*,*,#4010,.T.); +#5415=ORIENTED_EDGE('',*,*,#4008,.T.); +#5416=ORIENTED_EDGE('',*,*,#3936,.T.); +#5417=ORIENTED_EDGE('',*,*,#4063,.T.); +#5418=ORIENTED_EDGE('',*,*,#4061,.T.); +#5419=ORIENTED_EDGE('',*,*,#4059,.T.); +#5420=ORIENTED_EDGE('',*,*,#4057,.T.); +#5421=ORIENTED_EDGE('',*,*,#4055,.T.); +#5422=ORIENTED_EDGE('',*,*,#4053,.T.); +#5423=ORIENTED_EDGE('',*,*,#4051,.T.); +#5424=ORIENTED_EDGE('',*,*,#4049,.T.); +#5425=ORIENTED_EDGE('',*,*,#4066,.T.); +#5426=ORIENTED_EDGE('',*,*,#3974,.T.); +#5427=ORIENTED_EDGE('',*,*,#4025,.T.); +#5428=ORIENTED_EDGE('',*,*,#4023,.T.); +#5429=ORIENTED_EDGE('',*,*,#4021,.T.); +#5430=ORIENTED_EDGE('',*,*,#3971,.T.); +#5431=ORIENTED_EDGE('',*,*,#4070,.T.); +#5432=ORIENTED_EDGE('',*,*,#4071,.T.); +#5433=ORIENTED_EDGE('',*,*,#4072,.T.); +#5434=ORIENTED_EDGE('',*,*,#4073,.T.); +#5435=ORIENTED_EDGE('',*,*,#4074,.T.); +#5436=ORIENTED_EDGE('',*,*,#4075,.T.); +#5437=ORIENTED_EDGE('',*,*,#4076,.T.); +#5438=ORIENTED_EDGE('',*,*,#4077,.T.); +#5439=ORIENTED_EDGE('',*,*,#4078,.T.); +#5440=ORIENTED_EDGE('',*,*,#4079,.T.); +#5441=ORIENTED_EDGE('',*,*,#3943,.T.); +#5442=ORIENTED_EDGE('',*,*,#4069,.T.); +#5443=ORIENTED_EDGE('',*,*,#3945,.T.); +#5444=ORIENTED_EDGE('',*,*,#4068,.T.); +#5445=ORIENTED_EDGE('',*,*,#3949,.T.); +#5446=ORIENTED_EDGE('',*,*,#4067,.T.); +#5447=ORIENTED_EDGE('',*,*,#4015,.T.); +#5448=ORIENTED_EDGE('',*,*,#4013,.T.); +#5449=ORIENTED_EDGE('',*,*,#3953,.T.); +#5450=ORIENTED_EDGE('',*,*,#4080,.T.); +#5451=ORIENTED_EDGE('',*,*,#3958,.T.); +#5452=ORIENTED_EDGE('',*,*,#4020,.T.); +#5453=ORIENTED_EDGE('',*,*,#4018,.T.); +#5454=ORIENTED_EDGE('',*,*,#4081,.T.); +#5455=ORIENTED_EDGE('',*,*,#3960,.T.); +#5456=ORIENTED_EDGE('',*,*,#4082,.T.); +#5457=ORIENTED_EDGE('',*,*,#3964,.T.); +#5458=ORIENTED_EDGE('',*,*,#4083,.T.); +#5459=ORIENTED_EDGE('',*,*,#3968,.T.); +#5460=ORIENTED_EDGE('',*,*,#4007,.T.); +#5461=ORIENTED_EDGE('',*,*,#4004,.T.); +#5462=ORIENTED_EDGE('',*,*,#4002,.T.); +#5463=ORIENTED_EDGE('',*,*,#4000,.T.); +#5464=ORIENTED_EDGE('',*,*,#3998,.T.); +#5465=ORIENTED_EDGE('',*,*,#4044,.T.); +#5466=ORIENTED_EDGE('',*,*,#3995,.T.); +#5467=ORIENTED_EDGE('',*,*,#4047,.T.); +#5468=ORIENTED_EDGE('',*,*,#4046,.T.); +#5469=ORIENTED_EDGE('',*,*,#3993,.T.); +#5470=ORIENTED_EDGE('',*,*,#4040,.T.); +#5471=ORIENTED_EDGE('',*,*,#4037,.T.); +#5472=ORIENTED_EDGE('',*,*,#3988,.T.); +#5473=ORIENTED_EDGE('',*,*,#4064,.T.); +#5474=ORIENTED_EDGE('',*,*,#3986,.T.); +#5475=ORIENTED_EDGE('',*,*,#4043,.T.); +#5476=ORIENTED_EDGE('',*,*,#4042,.T.); +#5477=ORIENTED_EDGE('',*,*,#4035,.T.); +#5478=ORIENTED_EDGE('',*,*,#4033,.T.); +#5479=ORIENTED_EDGE('',*,*,#4031,.T.); +#5480=ORIENTED_EDGE('',*,*,#4029,.T.); +#5481=ORIENTED_EDGE('',*,*,#4026,.T.); +#5482=ORIENTED_EDGE('',*,*,#3981,.T.); +#5483=ORIENTED_EDGE('',*,*,#4065,.T.); +#5484=ORIENTED_EDGE('',*,*,#3979,.T.); +#5485=ORIENTED_EDGE('',*,*,#3967,.T.); +#5486=ORIENTED_EDGE('',*,*,#3914,.T.); +#5487=ORIENTED_EDGE('',*,*,#3969,.T.); +#5488=ORIENTED_EDGE('',*,*,#4083,.F.); +#5489=ORIENTED_EDGE('',*,*,#3963,.T.); +#5490=ORIENTED_EDGE('',*,*,#3917,.T.); +#5491=ORIENTED_EDGE('',*,*,#3965,.T.); +#5492=ORIENTED_EDGE('',*,*,#4082,.F.); +#5493=ORIENTED_EDGE('',*,*,#3959,.T.); +#5494=ORIENTED_EDGE('',*,*,#3920,.T.); +#5495=ORIENTED_EDGE('',*,*,#3961,.T.); +#5496=ORIENTED_EDGE('',*,*,#4081,.F.); +#5497=ORIENTED_EDGE('',*,*,#3904,.F.); +#5498=ORIENTED_EDGE('',*,*,#3934,.T.); +#5499=ORIENTED_EDGE('',*,*,#4078,.F.); +#5500=ORIENTED_EDGE('',*,*,#4084,.F.); +#5501=ORIENTED_EDGE('',*,*,#3905,.F.); +#5502=ORIENTED_EDGE('',*,*,#4084,.T.); +#5503=ORIENTED_EDGE('',*,*,#4077,.F.); +#5504=ORIENTED_EDGE('',*,*,#4085,.F.); +#5505=ORIENTED_EDGE('',*,*,#3906,.T.); +#5506=ORIENTED_EDGE('',*,*,#4085,.T.); +#5507=ORIENTED_EDGE('',*,*,#4076,.F.); +#5508=ORIENTED_EDGE('',*,*,#4086,.F.); +#5509=ORIENTED_EDGE('',*,*,#3907,.F.); +#5510=ORIENTED_EDGE('',*,*,#4086,.T.); +#5511=ORIENTED_EDGE('',*,*,#4075,.F.); +#5512=ORIENTED_EDGE('',*,*,#4087,.F.); +#5513=ORIENTED_EDGE('',*,*,#3908,.F.); +#5514=ORIENTED_EDGE('',*,*,#4087,.T.); +#5515=ORIENTED_EDGE('',*,*,#4074,.F.); +#5516=ORIENTED_EDGE('',*,*,#4088,.F.); +#5517=ORIENTED_EDGE('',*,*,#3909,.F.); +#5518=ORIENTED_EDGE('',*,*,#4088,.T.); +#5519=ORIENTED_EDGE('',*,*,#4073,.F.); +#5520=ORIENTED_EDGE('',*,*,#4089,.F.); +#5521=ORIENTED_EDGE('',*,*,#3910,.F.); +#5522=ORIENTED_EDGE('',*,*,#4089,.T.); +#5523=ORIENTED_EDGE('',*,*,#4072,.F.); +#5524=ORIENTED_EDGE('',*,*,#4090,.F.); +#5525=ORIENTED_EDGE('',*,*,#3911,.F.); +#5526=ORIENTED_EDGE('',*,*,#4090,.T.); +#5527=ORIENTED_EDGE('',*,*,#4071,.F.); +#5528=ORIENTED_EDGE('',*,*,#4091,.F.); +#5529=ORIENTED_EDGE('',*,*,#3912,.F.); +#5530=ORIENTED_EDGE('',*,*,#4091,.T.); +#5531=ORIENTED_EDGE('',*,*,#4070,.F.); +#5532=ORIENTED_EDGE('',*,*,#3970,.F.); +#5533=ORIENTED_EDGE('',*,*,#3955,.T.); +#5534=ORIENTED_EDGE('',*,*,#4080,.F.); +#5535=ORIENTED_EDGE('',*,*,#4017,.F.); +#5536=ORIENTED_EDGE('',*,*,#3923,.T.); +#5537=ORIENTED_EDGE('',*,*,#3940,.T.); +#5538=ORIENTED_EDGE('',*,*,#4079,.F.); +#5539=ORIENTED_EDGE('',*,*,#3942,.F.); +#5540=ORIENTED_EDGE('',*,*,#3933,.T.); +#5541=ORIENTED_EDGE('',*,*,#3801,.T.); +#5542=ORIENTED_EDGE('',*,*,#3799,.T.); +#5543=ORIENTED_EDGE('',*,*,#4092,.T.); +#5544=ORIENTED_EDGE('',*,*,#4093,.T.); +#5545=ORIENTED_EDGE('',*,*,#4094,.T.); +#5546=ORIENTED_EDGE('',*,*,#4094,.F.); +#5547=ORIENTED_EDGE('',*,*,#4095,.T.); +#5548=ORIENTED_EDGE('',*,*,#4096,.F.); +#5549=ORIENTED_EDGE('',*,*,#4097,.F.); +#5550=ORIENTED_EDGE('',*,*,#4093,.F.); +#5551=ORIENTED_EDGE('',*,*,#4098,.T.); +#5552=ORIENTED_EDGE('',*,*,#4099,.F.); +#5553=ORIENTED_EDGE('',*,*,#4095,.F.); +#5554=ORIENTED_EDGE('',*,*,#4092,.F.); +#5555=ORIENTED_EDGE('',*,*,#4097,.T.); +#5556=ORIENTED_EDGE('',*,*,#4100,.F.); +#5557=ORIENTED_EDGE('',*,*,#4098,.F.); +#5558=ORIENTED_EDGE('',*,*,#4100,.T.); +#5559=ORIENTED_EDGE('',*,*,#4096,.T.); +#5560=ORIENTED_EDGE('',*,*,#4099,.T.); +#5561=ORIENTED_EDGE('',*,*,#4101,.T.); +#5562=ORIENTED_EDGE('',*,*,#4102,.T.); +#5563=ORIENTED_EDGE('',*,*,#4103,.T.); +#5564=ORIENTED_EDGE('',*,*,#4104,.F.); +#5565=ORIENTED_EDGE('',*,*,#4105,.T.); +#5566=ORIENTED_EDGE('',*,*,#4101,.F.); +#5567=ORIENTED_EDGE('',*,*,#4106,.F.); +#5568=ORIENTED_EDGE('',*,*,#4107,.F.); +#5569=ORIENTED_EDGE('',*,*,#4106,.T.); +#5570=ORIENTED_EDGE('',*,*,#4103,.F.); +#5571=ORIENTED_EDGE('',*,*,#4108,.F.); +#5572=ORIENTED_EDGE('',*,*,#4109,.F.); +#5573=ORIENTED_EDGE('',*,*,#4108,.T.); +#5574=ORIENTED_EDGE('',*,*,#4102,.F.); +#5575=ORIENTED_EDGE('',*,*,#4105,.F.); +#5576=ORIENTED_EDGE('',*,*,#4104,.T.); +#5577=ORIENTED_EDGE('',*,*,#4107,.T.); +#5578=ORIENTED_EDGE('',*,*,#4109,.T.); +#5579=ORIENTED_EDGE('',*,*,#4110,.T.); +#5580=ORIENTED_EDGE('',*,*,#4111,.T.); +#5581=ORIENTED_EDGE('',*,*,#4112,.T.); +#5582=ORIENTED_EDGE('',*,*,#4112,.F.); +#5583=ORIENTED_EDGE('',*,*,#4113,.T.); +#5584=ORIENTED_EDGE('',*,*,#4114,.F.); +#5585=ORIENTED_EDGE('',*,*,#4115,.F.); +#5586=ORIENTED_EDGE('',*,*,#4111,.F.); +#5587=ORIENTED_EDGE('',*,*,#4116,.T.); +#5588=ORIENTED_EDGE('',*,*,#4117,.F.); +#5589=ORIENTED_EDGE('',*,*,#4113,.F.); +#5590=ORIENTED_EDGE('',*,*,#4110,.F.); +#5591=ORIENTED_EDGE('',*,*,#4115,.T.); +#5592=ORIENTED_EDGE('',*,*,#4118,.F.); +#5593=ORIENTED_EDGE('',*,*,#4116,.F.); +#5594=ORIENTED_EDGE('',*,*,#4118,.T.); +#5595=ORIENTED_EDGE('',*,*,#4114,.T.); +#5596=ORIENTED_EDGE('',*,*,#4117,.T.); +#5597=ORIENTED_EDGE('',*,*,#4119,.T.); +#5598=ORIENTED_EDGE('',*,*,#4120,.T.); +#5599=ORIENTED_EDGE('',*,*,#4121,.T.); +#5600=ORIENTED_EDGE('',*,*,#4122,.F.); +#5601=ORIENTED_EDGE('',*,*,#4123,.T.); +#5602=ORIENTED_EDGE('',*,*,#4119,.F.); +#5603=ORIENTED_EDGE('',*,*,#4124,.F.); +#5604=ORIENTED_EDGE('',*,*,#4125,.F.); +#5605=ORIENTED_EDGE('',*,*,#4124,.T.); +#5606=ORIENTED_EDGE('',*,*,#4121,.F.); +#5607=ORIENTED_EDGE('',*,*,#4126,.F.); +#5608=ORIENTED_EDGE('',*,*,#4127,.F.); +#5609=ORIENTED_EDGE('',*,*,#4126,.T.); +#5610=ORIENTED_EDGE('',*,*,#4120,.F.); +#5611=ORIENTED_EDGE('',*,*,#4123,.F.); +#5612=ORIENTED_EDGE('',*,*,#4122,.T.); +#5613=ORIENTED_EDGE('',*,*,#4125,.T.); +#5614=ORIENTED_EDGE('',*,*,#4127,.T.); +#5615=ORIENTED_EDGE('',*,*,#4128,.T.); +#5616=ORIENTED_EDGE('',*,*,#4129,.T.); +#5617=ORIENTED_EDGE('',*,*,#4130,.T.); +#5618=ORIENTED_EDGE('',*,*,#4131,.T.); +#5619=ORIENTED_EDGE('',*,*,#4132,.T.); +#5620=ORIENTED_EDGE('',*,*,#4133,.T.); +#5621=ORIENTED_EDGE('',*,*,#4134,.T.); +#5622=ORIENTED_EDGE('',*,*,#4135,.T.); +#5623=ORIENTED_EDGE('',*,*,#4136,.T.); +#5624=ORIENTED_EDGE('',*,*,#4137,.T.); +#5625=ORIENTED_EDGE('',*,*,#4138,.T.); +#5626=ORIENTED_EDGE('',*,*,#4139,.T.); +#5627=ORIENTED_EDGE('',*,*,#4140,.T.); +#5628=ORIENTED_EDGE('',*,*,#4141,.T.); +#5629=ORIENTED_EDGE('',*,*,#4142,.T.); +#5630=ORIENTED_EDGE('',*,*,#4143,.T.); +#5631=ORIENTED_EDGE('',*,*,#4144,.T.); +#5632=ORIENTED_EDGE('',*,*,#4145,.T.); +#5633=ORIENTED_EDGE('',*,*,#4146,.T.); +#5634=ORIENTED_EDGE('',*,*,#4130,.F.); +#5635=ORIENTED_EDGE('',*,*,#4147,.T.); +#5636=ORIENTED_EDGE('',*,*,#4148,.F.); +#5637=ORIENTED_EDGE('',*,*,#4149,.F.); +#5638=ORIENTED_EDGE('',*,*,#4143,.F.); +#5639=ORIENTED_EDGE('',*,*,#4150,.T.); +#5640=ORIENTED_EDGE('',*,*,#4151,.F.); +#5641=ORIENTED_EDGE('',*,*,#4147,.F.); +#5642=ORIENTED_EDGE('',*,*,#4139,.F.); +#5643=ORIENTED_EDGE('',*,*,#4152,.T.); +#5644=ORIENTED_EDGE('',*,*,#4153,.F.); +#5645=ORIENTED_EDGE('',*,*,#4150,.F.); +#5646=ORIENTED_EDGE('',*,*,#4140,.F.); +#5647=ORIENTED_EDGE('',*,*,#4154,.T.); +#5648=ORIENTED_EDGE('',*,*,#4155,.F.); +#5649=ORIENTED_EDGE('',*,*,#4152,.F.); +#5650=ORIENTED_EDGE('',*,*,#4142,.F.); +#5651=ORIENTED_EDGE('',*,*,#4156,.T.); +#5652=ORIENTED_EDGE('',*,*,#4157,.F.); +#5653=ORIENTED_EDGE('',*,*,#4154,.F.); +#5654=ORIENTED_EDGE('',*,*,#4141,.F.); +#5655=ORIENTED_EDGE('',*,*,#4158,.T.); +#5656=ORIENTED_EDGE('',*,*,#4159,.F.); +#5657=ORIENTED_EDGE('',*,*,#4156,.F.); +#5658=ORIENTED_EDGE('',*,*,#4129,.F.); +#5659=ORIENTED_EDGE('',*,*,#4160,.T.); +#5660=ORIENTED_EDGE('',*,*,#4161,.F.); +#5661=ORIENTED_EDGE('',*,*,#4158,.F.); +#5662=ORIENTED_EDGE('',*,*,#4146,.F.); +#5663=ORIENTED_EDGE('',*,*,#4162,.T.); +#5664=ORIENTED_EDGE('',*,*,#4163,.F.); +#5665=ORIENTED_EDGE('',*,*,#4160,.F.); +#5666=ORIENTED_EDGE('',*,*,#4145,.F.); +#5667=ORIENTED_EDGE('',*,*,#4164,.T.); +#5668=ORIENTED_EDGE('',*,*,#4165,.F.); +#5669=ORIENTED_EDGE('',*,*,#4162,.F.); +#5670=ORIENTED_EDGE('',*,*,#4138,.F.); +#5671=ORIENTED_EDGE('',*,*,#4166,.T.); +#5672=ORIENTED_EDGE('',*,*,#4167,.F.); +#5673=ORIENTED_EDGE('',*,*,#4164,.F.); +#5674=ORIENTED_EDGE('',*,*,#4135,.F.); +#5675=ORIENTED_EDGE('',*,*,#4168,.T.); +#5676=ORIENTED_EDGE('',*,*,#4169,.F.); +#5677=ORIENTED_EDGE('',*,*,#4166,.F.); +#5678=ORIENTED_EDGE('',*,*,#4134,.F.); +#5679=ORIENTED_EDGE('',*,*,#4170,.T.); +#5680=ORIENTED_EDGE('',*,*,#4171,.F.); +#5681=ORIENTED_EDGE('',*,*,#4168,.F.); +#5682=ORIENTED_EDGE('',*,*,#4133,.F.); +#5683=ORIENTED_EDGE('',*,*,#4172,.T.); +#5684=ORIENTED_EDGE('',*,*,#4173,.F.); +#5685=ORIENTED_EDGE('',*,*,#4170,.F.); +#5686=ORIENTED_EDGE('',*,*,#4132,.F.); +#5687=ORIENTED_EDGE('',*,*,#4174,.T.); +#5688=ORIENTED_EDGE('',*,*,#4175,.F.); +#5689=ORIENTED_EDGE('',*,*,#4172,.F.); +#5690=ORIENTED_EDGE('',*,*,#4131,.F.); +#5691=ORIENTED_EDGE('',*,*,#4176,.T.); +#5692=ORIENTED_EDGE('',*,*,#4177,.F.); +#5693=ORIENTED_EDGE('',*,*,#4174,.F.); +#5694=ORIENTED_EDGE('',*,*,#4137,.F.); +#5695=ORIENTED_EDGE('',*,*,#4178,.T.); +#5696=ORIENTED_EDGE('',*,*,#4179,.F.); +#5697=ORIENTED_EDGE('',*,*,#4176,.F.); +#5698=ORIENTED_EDGE('',*,*,#4136,.F.); +#5699=ORIENTED_EDGE('',*,*,#4180,.T.); +#5700=ORIENTED_EDGE('',*,*,#4181,.F.); +#5701=ORIENTED_EDGE('',*,*,#4178,.F.); +#5702=ORIENTED_EDGE('',*,*,#4144,.F.); +#5703=ORIENTED_EDGE('',*,*,#4182,.T.); +#5704=ORIENTED_EDGE('',*,*,#4183,.F.); +#5705=ORIENTED_EDGE('',*,*,#4180,.F.); +#5706=ORIENTED_EDGE('',*,*,#4128,.F.); +#5707=ORIENTED_EDGE('',*,*,#4149,.T.); +#5708=ORIENTED_EDGE('',*,*,#4184,.F.); +#5709=ORIENTED_EDGE('',*,*,#4182,.F.); +#5710=ORIENTED_EDGE('',*,*,#4184,.T.); +#5711=ORIENTED_EDGE('',*,*,#4148,.T.); +#5712=ORIENTED_EDGE('',*,*,#4161,.T.); +#5713=ORIENTED_EDGE('',*,*,#4163,.T.); +#5714=ORIENTED_EDGE('',*,*,#4165,.T.); +#5715=ORIENTED_EDGE('',*,*,#4183,.T.); +#5716=ORIENTED_EDGE('',*,*,#4167,.T.); +#5717=ORIENTED_EDGE('',*,*,#4179,.T.); +#5718=ORIENTED_EDGE('',*,*,#4181,.T.); +#5719=ORIENTED_EDGE('',*,*,#4169,.T.); +#5720=ORIENTED_EDGE('',*,*,#4171,.T.); +#5721=ORIENTED_EDGE('',*,*,#4173,.T.); +#5722=ORIENTED_EDGE('',*,*,#4175,.T.); +#5723=ORIENTED_EDGE('',*,*,#4177,.T.); +#5724=ORIENTED_EDGE('',*,*,#4151,.T.); +#5725=ORIENTED_EDGE('',*,*,#4157,.T.); +#5726=ORIENTED_EDGE('',*,*,#4159,.T.); +#5727=ORIENTED_EDGE('',*,*,#4155,.T.); +#5728=ORIENTED_EDGE('',*,*,#4153,.T.); +#5729=ORIENTED_EDGE('',*,*,#4185,.T.); +#5730=ORIENTED_EDGE('',*,*,#4186,.T.); +#5731=ORIENTED_EDGE('',*,*,#4187,.T.); +#5732=ORIENTED_EDGE('',*,*,#4188,.F.); +#5733=ORIENTED_EDGE('',*,*,#4189,.T.); +#5734=ORIENTED_EDGE('',*,*,#4185,.F.); +#5735=ORIENTED_EDGE('',*,*,#4190,.F.); +#5736=ORIENTED_EDGE('',*,*,#4191,.F.); +#5737=ORIENTED_EDGE('',*,*,#4190,.T.); +#5738=ORIENTED_EDGE('',*,*,#4187,.F.); +#5739=ORIENTED_EDGE('',*,*,#4192,.F.); +#5740=ORIENTED_EDGE('',*,*,#4193,.F.); +#5741=ORIENTED_EDGE('',*,*,#4192,.T.); +#5742=ORIENTED_EDGE('',*,*,#4186,.F.); +#5743=ORIENTED_EDGE('',*,*,#4189,.F.); +#5744=ORIENTED_EDGE('',*,*,#4188,.T.); +#5745=ORIENTED_EDGE('',*,*,#4191,.T.); +#5746=ORIENTED_EDGE('',*,*,#4193,.T.); +#5747=ORIENTED_EDGE('',*,*,#4194,.T.); +#5748=ORIENTED_EDGE('',*,*,#4195,.T.); +#5749=ORIENTED_EDGE('',*,*,#4196,.T.); +#5750=ORIENTED_EDGE('',*,*,#4197,.T.); +#5751=ORIENTED_EDGE('',*,*,#4198,.T.); +#5752=ORIENTED_EDGE('',*,*,#4199,.T.); +#5753=ORIENTED_EDGE('',*,*,#4200,.T.); +#5754=ORIENTED_EDGE('',*,*,#4196,.F.); +#5755=ORIENTED_EDGE('',*,*,#4201,.T.); +#5756=ORIENTED_EDGE('',*,*,#4202,.F.); +#5757=ORIENTED_EDGE('',*,*,#4203,.F.); +#5758=ORIENTED_EDGE('',*,*,#4195,.F.); +#5759=ORIENTED_EDGE('',*,*,#4204,.T.); +#5760=ORIENTED_EDGE('',*,*,#4205,.F.); +#5761=ORIENTED_EDGE('',*,*,#4201,.F.); +#5762=ORIENTED_EDGE('',*,*,#4200,.F.); +#5763=ORIENTED_EDGE('',*,*,#4206,.T.); +#5764=ORIENTED_EDGE('',*,*,#4207,.F.); +#5765=ORIENTED_EDGE('',*,*,#4204,.F.); +#5766=ORIENTED_EDGE('',*,*,#4199,.F.); +#5767=ORIENTED_EDGE('',*,*,#4208,.T.); +#5768=ORIENTED_EDGE('',*,*,#4209,.F.); +#5769=ORIENTED_EDGE('',*,*,#4206,.F.); +#5770=ORIENTED_EDGE('',*,*,#4198,.F.); +#5771=ORIENTED_EDGE('',*,*,#4210,.T.); +#5772=ORIENTED_EDGE('',*,*,#4211,.F.); +#5773=ORIENTED_EDGE('',*,*,#4208,.F.); +#5774=ORIENTED_EDGE('',*,*,#4197,.F.); +#5775=ORIENTED_EDGE('',*,*,#4212,.T.); +#5776=ORIENTED_EDGE('',*,*,#4213,.F.); +#5777=ORIENTED_EDGE('',*,*,#4210,.F.); +#5778=ORIENTED_EDGE('',*,*,#4194,.F.); +#5779=ORIENTED_EDGE('',*,*,#4203,.T.); +#5780=ORIENTED_EDGE('',*,*,#4214,.F.); +#5781=ORIENTED_EDGE('',*,*,#4212,.F.); +#5782=ORIENTED_EDGE('',*,*,#4214,.T.); +#5783=ORIENTED_EDGE('',*,*,#4202,.T.); +#5784=ORIENTED_EDGE('',*,*,#4205,.T.); +#5785=ORIENTED_EDGE('',*,*,#4207,.T.); +#5786=ORIENTED_EDGE('',*,*,#4209,.T.); +#5787=ORIENTED_EDGE('',*,*,#4211,.T.); +#5788=ORIENTED_EDGE('',*,*,#4213,.T.); +#5789=ORIENTED_EDGE('',*,*,#4215,.T.); +#5790=ORIENTED_EDGE('',*,*,#4216,.T.); +#5791=ORIENTED_EDGE('',*,*,#4217,.T.); +#5792=ORIENTED_EDGE('',*,*,#4218,.F.); +#5793=ORIENTED_EDGE('',*,*,#4219,.T.); +#5794=ORIENTED_EDGE('',*,*,#4215,.F.); +#5795=ORIENTED_EDGE('',*,*,#4220,.F.); +#5796=ORIENTED_EDGE('',*,*,#4221,.F.); +#5797=ORIENTED_EDGE('',*,*,#4220,.T.); +#5798=ORIENTED_EDGE('',*,*,#4217,.F.); +#5799=ORIENTED_EDGE('',*,*,#4222,.F.); +#5800=ORIENTED_EDGE('',*,*,#4223,.F.); +#5801=ORIENTED_EDGE('',*,*,#4222,.T.); +#5802=ORIENTED_EDGE('',*,*,#4216,.F.); +#5803=ORIENTED_EDGE('',*,*,#4219,.F.); +#5804=ORIENTED_EDGE('',*,*,#4218,.T.); +#5805=ORIENTED_EDGE('',*,*,#4221,.T.); +#5806=ORIENTED_EDGE('',*,*,#4223,.T.); +#5807=ORIENTED_EDGE('',*,*,#4224,.T.); +#5808=ORIENTED_EDGE('',*,*,#4225,.T.); +#5809=ORIENTED_EDGE('',*,*,#4226,.T.); +#5810=ORIENTED_EDGE('',*,*,#4226,.F.); +#5811=ORIENTED_EDGE('',*,*,#4227,.T.); +#5812=ORIENTED_EDGE('',*,*,#4228,.F.); +#5813=ORIENTED_EDGE('',*,*,#4229,.F.); +#5814=ORIENTED_EDGE('',*,*,#4225,.F.); +#5815=ORIENTED_EDGE('',*,*,#4230,.T.); +#5816=ORIENTED_EDGE('',*,*,#4231,.F.); +#5817=ORIENTED_EDGE('',*,*,#4227,.F.); +#5818=ORIENTED_EDGE('',*,*,#4224,.F.); +#5819=ORIENTED_EDGE('',*,*,#4229,.T.); +#5820=ORIENTED_EDGE('',*,*,#4232,.F.); +#5821=ORIENTED_EDGE('',*,*,#4230,.F.); +#5822=ORIENTED_EDGE('',*,*,#4232,.T.); +#5823=ORIENTED_EDGE('',*,*,#4228,.T.); +#5824=ORIENTED_EDGE('',*,*,#4231,.T.); +#5825=ORIENTED_EDGE('',*,*,#4233,.T.); +#5826=ORIENTED_EDGE('',*,*,#4234,.T.); +#5827=ORIENTED_EDGE('',*,*,#4235,.T.); +#5828=ORIENTED_EDGE('',*,*,#4236,.F.); +#5829=ORIENTED_EDGE('',*,*,#4237,.T.); +#5830=ORIENTED_EDGE('',*,*,#4233,.F.); +#5831=ORIENTED_EDGE('',*,*,#4238,.F.); +#5832=ORIENTED_EDGE('',*,*,#4239,.F.); +#5833=ORIENTED_EDGE('',*,*,#4238,.T.); +#5834=ORIENTED_EDGE('',*,*,#4235,.F.); +#5835=ORIENTED_EDGE('',*,*,#4240,.F.); +#5836=ORIENTED_EDGE('',*,*,#4241,.F.); +#5837=ORIENTED_EDGE('',*,*,#4240,.T.); +#5838=ORIENTED_EDGE('',*,*,#4234,.F.); +#5839=ORIENTED_EDGE('',*,*,#4237,.F.); +#5840=ORIENTED_EDGE('',*,*,#4236,.T.); +#5841=ORIENTED_EDGE('',*,*,#4239,.T.); +#5842=ORIENTED_EDGE('',*,*,#4241,.T.); +#5843=ORIENTED_EDGE('',*,*,#4242,.T.); +#5844=ORIENTED_EDGE('',*,*,#4243,.T.); +#5845=ORIENTED_EDGE('',*,*,#4244,.T.); +#5846=ORIENTED_EDGE('',*,*,#4245,.T.); +#5847=ORIENTED_EDGE('',*,*,#4245,.F.); +#5848=ORIENTED_EDGE('',*,*,#4246,.T.); +#5849=ORIENTED_EDGE('',*,*,#4247,.F.); +#5850=ORIENTED_EDGE('',*,*,#4248,.F.); +#5851=ORIENTED_EDGE('',*,*,#4244,.F.); +#5852=ORIENTED_EDGE('',*,*,#4249,.T.); +#5853=ORIENTED_EDGE('',*,*,#4250,.F.); +#5854=ORIENTED_EDGE('',*,*,#4246,.F.); +#5855=ORIENTED_EDGE('',*,*,#4243,.F.); +#5856=ORIENTED_EDGE('',*,*,#4251,.T.); +#5857=ORIENTED_EDGE('',*,*,#4252,.F.); +#5858=ORIENTED_EDGE('',*,*,#4249,.F.); +#5859=ORIENTED_EDGE('',*,*,#4242,.F.); +#5860=ORIENTED_EDGE('',*,*,#4248,.T.); +#5861=ORIENTED_EDGE('',*,*,#4253,.F.); +#5862=ORIENTED_EDGE('',*,*,#4251,.F.); +#5863=ORIENTED_EDGE('',*,*,#4253,.T.); +#5864=ORIENTED_EDGE('',*,*,#4247,.T.); +#5865=ORIENTED_EDGE('',*,*,#4250,.T.); +#5866=ORIENTED_EDGE('',*,*,#4252,.T.); +#5867=ORIENTED_EDGE('',*,*,#4254,.T.); +#5868=ORIENTED_EDGE('',*,*,#4255,.T.); +#5869=ORIENTED_EDGE('',*,*,#4256,.T.); +#5870=ORIENTED_EDGE('',*,*,#4257,.F.); +#5871=ORIENTED_EDGE('',*,*,#4258,.T.); +#5872=ORIENTED_EDGE('',*,*,#4254,.F.); +#5873=ORIENTED_EDGE('',*,*,#4259,.F.); +#5874=ORIENTED_EDGE('',*,*,#4260,.F.); +#5875=ORIENTED_EDGE('',*,*,#4259,.T.); +#5876=ORIENTED_EDGE('',*,*,#4256,.F.); +#5877=ORIENTED_EDGE('',*,*,#4261,.F.); +#5878=ORIENTED_EDGE('',*,*,#4262,.F.); +#5879=ORIENTED_EDGE('',*,*,#4261,.T.); +#5880=ORIENTED_EDGE('',*,*,#4255,.F.); +#5881=ORIENTED_EDGE('',*,*,#4258,.F.); +#5882=ORIENTED_EDGE('',*,*,#4257,.T.); +#5883=ORIENTED_EDGE('',*,*,#4260,.T.); +#5884=ORIENTED_EDGE('',*,*,#4262,.T.); +#5885=ORIENTED_EDGE('',*,*,#4263,.T.); +#5886=ORIENTED_EDGE('',*,*,#4264,.T.); +#5887=ORIENTED_EDGE('',*,*,#4265,.T.); +#5888=ORIENTED_EDGE('',*,*,#4266,.T.); +#5889=ORIENTED_EDGE('',*,*,#4267,.T.); +#5890=ORIENTED_EDGE('',*,*,#4268,.T.); +#5891=ORIENTED_EDGE('',*,*,#4269,.T.); +#5892=ORIENTED_EDGE('',*,*,#4270,.T.); +#5893=ORIENTED_EDGE('',*,*,#4271,.T.); +#5894=ORIENTED_EDGE('',*,*,#4272,.T.); +#5895=ORIENTED_EDGE('',*,*,#4273,.T.); +#5896=ORIENTED_EDGE('',*,*,#4274,.T.); +#5897=ORIENTED_EDGE('',*,*,#4275,.T.); +#5898=ORIENTED_EDGE('',*,*,#4276,.T.); +#5899=ORIENTED_EDGE('',*,*,#4277,.T.); +#5900=ORIENTED_EDGE('',*,*,#4278,.T.); +#5901=ORIENTED_EDGE('',*,*,#4279,.T.); +#5902=ORIENTED_EDGE('',*,*,#4280,.T.); +#5903=ORIENTED_EDGE('',*,*,#4265,.F.); +#5904=ORIENTED_EDGE('',*,*,#4281,.T.); +#5905=ORIENTED_EDGE('',*,*,#4282,.F.); +#5906=ORIENTED_EDGE('',*,*,#4283,.F.); +#5907=ORIENTED_EDGE('',*,*,#4264,.F.); +#5908=ORIENTED_EDGE('',*,*,#4284,.T.); +#5909=ORIENTED_EDGE('',*,*,#4285,.F.); +#5910=ORIENTED_EDGE('',*,*,#4281,.F.); +#5911=ORIENTED_EDGE('',*,*,#4280,.F.); +#5912=ORIENTED_EDGE('',*,*,#4286,.T.); +#5913=ORIENTED_EDGE('',*,*,#4287,.F.); +#5914=ORIENTED_EDGE('',*,*,#4284,.F.); +#5915=ORIENTED_EDGE('',*,*,#4277,.F.); +#5916=ORIENTED_EDGE('',*,*,#4288,.T.); +#5917=ORIENTED_EDGE('',*,*,#4289,.F.); +#5918=ORIENTED_EDGE('',*,*,#4286,.F.); +#5919=ORIENTED_EDGE('',*,*,#4276,.F.); +#5920=ORIENTED_EDGE('',*,*,#4290,.T.); +#5921=ORIENTED_EDGE('',*,*,#4291,.F.); +#5922=ORIENTED_EDGE('',*,*,#4288,.F.); +#5923=ORIENTED_EDGE('',*,*,#4274,.F.); +#5924=ORIENTED_EDGE('',*,*,#4292,.T.); +#5925=ORIENTED_EDGE('',*,*,#4293,.F.); +#5926=ORIENTED_EDGE('',*,*,#4290,.F.); +#5927=ORIENTED_EDGE('',*,*,#4271,.F.); +#5928=ORIENTED_EDGE('',*,*,#4294,.T.); +#5929=ORIENTED_EDGE('',*,*,#4295,.F.); +#5930=ORIENTED_EDGE('',*,*,#4292,.F.); +#5931=ORIENTED_EDGE('',*,*,#4269,.F.); +#5932=ORIENTED_EDGE('',*,*,#4296,.T.); +#5933=ORIENTED_EDGE('',*,*,#4297,.F.); +#5934=ORIENTED_EDGE('',*,*,#4294,.F.); +#5935=ORIENTED_EDGE('',*,*,#4268,.F.); +#5936=ORIENTED_EDGE('',*,*,#4298,.T.); +#5937=ORIENTED_EDGE('',*,*,#4299,.F.); +#5938=ORIENTED_EDGE('',*,*,#4296,.F.); +#5939=ORIENTED_EDGE('',*,*,#4267,.F.); +#5940=ORIENTED_EDGE('',*,*,#4300,.T.); +#5941=ORIENTED_EDGE('',*,*,#4301,.F.); +#5942=ORIENTED_EDGE('',*,*,#4298,.F.); +#5943=ORIENTED_EDGE('',*,*,#4266,.F.); +#5944=ORIENTED_EDGE('',*,*,#4302,.T.); +#5945=ORIENTED_EDGE('',*,*,#4303,.F.); +#5946=ORIENTED_EDGE('',*,*,#4300,.F.); +#5947=ORIENTED_EDGE('',*,*,#4270,.F.); +#5948=ORIENTED_EDGE('',*,*,#4304,.T.); +#5949=ORIENTED_EDGE('',*,*,#4305,.F.); +#5950=ORIENTED_EDGE('',*,*,#4302,.F.); +#5951=ORIENTED_EDGE('',*,*,#4273,.F.); +#5952=ORIENTED_EDGE('',*,*,#4306,.T.); +#5953=ORIENTED_EDGE('',*,*,#4307,.F.); +#5954=ORIENTED_EDGE('',*,*,#4304,.F.); +#5955=ORIENTED_EDGE('',*,*,#4272,.F.); +#5956=ORIENTED_EDGE('',*,*,#4308,.T.); +#5957=ORIENTED_EDGE('',*,*,#4309,.F.); +#5958=ORIENTED_EDGE('',*,*,#4306,.F.); +#5959=ORIENTED_EDGE('',*,*,#4275,.F.); +#5960=ORIENTED_EDGE('',*,*,#4310,.T.); +#5961=ORIENTED_EDGE('',*,*,#4311,.F.); +#5962=ORIENTED_EDGE('',*,*,#4308,.F.); +#5963=ORIENTED_EDGE('',*,*,#4279,.F.); +#5964=ORIENTED_EDGE('',*,*,#4312,.T.); +#5965=ORIENTED_EDGE('',*,*,#4313,.F.); +#5966=ORIENTED_EDGE('',*,*,#4310,.F.); +#5967=ORIENTED_EDGE('',*,*,#4278,.F.); +#5968=ORIENTED_EDGE('',*,*,#4314,.T.); +#5969=ORIENTED_EDGE('',*,*,#4315,.F.); +#5970=ORIENTED_EDGE('',*,*,#4312,.F.); +#5971=ORIENTED_EDGE('',*,*,#4263,.F.); +#5972=ORIENTED_EDGE('',*,*,#4283,.T.); +#5973=ORIENTED_EDGE('',*,*,#4316,.F.); +#5974=ORIENTED_EDGE('',*,*,#4314,.F.); +#5975=ORIENTED_EDGE('',*,*,#4316,.T.); +#5976=ORIENTED_EDGE('',*,*,#4282,.T.); +#5977=ORIENTED_EDGE('',*,*,#4285,.T.); +#5978=ORIENTED_EDGE('',*,*,#4287,.T.); +#5979=ORIENTED_EDGE('',*,*,#4313,.T.); +#5980=ORIENTED_EDGE('',*,*,#4315,.T.); +#5981=ORIENTED_EDGE('',*,*,#4289,.T.); +#5982=ORIENTED_EDGE('',*,*,#4291,.T.); +#5983=ORIENTED_EDGE('',*,*,#4311,.T.); +#5984=ORIENTED_EDGE('',*,*,#4293,.T.); +#5985=ORIENTED_EDGE('',*,*,#4307,.T.); +#5986=ORIENTED_EDGE('',*,*,#4309,.T.); +#5987=ORIENTED_EDGE('',*,*,#4295,.T.); +#5988=ORIENTED_EDGE('',*,*,#4305,.T.); +#5989=ORIENTED_EDGE('',*,*,#4297,.T.); +#5990=ORIENTED_EDGE('',*,*,#4299,.T.); +#5991=ORIENTED_EDGE('',*,*,#4301,.T.); +#5992=ORIENTED_EDGE('',*,*,#4303,.T.); +#5993=ORIENTED_EDGE('',*,*,#4317,.T.); +#5994=ORIENTED_EDGE('',*,*,#4318,.T.); +#5995=ORIENTED_EDGE('',*,*,#4319,.T.); +#5996=ORIENTED_EDGE('',*,*,#4320,.F.); +#5997=ORIENTED_EDGE('',*,*,#4321,.T.); +#5998=ORIENTED_EDGE('',*,*,#4317,.F.); +#5999=ORIENTED_EDGE('',*,*,#4322,.F.); +#6000=ORIENTED_EDGE('',*,*,#4323,.F.); +#6001=ORIENTED_EDGE('',*,*,#4322,.T.); +#6002=ORIENTED_EDGE('',*,*,#4319,.F.); +#6003=ORIENTED_EDGE('',*,*,#4324,.F.); +#6004=ORIENTED_EDGE('',*,*,#4325,.F.); +#6005=ORIENTED_EDGE('',*,*,#4324,.T.); +#6006=ORIENTED_EDGE('',*,*,#4318,.F.); +#6007=ORIENTED_EDGE('',*,*,#4321,.F.); +#6008=ORIENTED_EDGE('',*,*,#4320,.T.); +#6009=ORIENTED_EDGE('',*,*,#4323,.T.); +#6010=ORIENTED_EDGE('',*,*,#4325,.T.); +#6011=ORIENTED_EDGE('',*,*,#4326,.T.); +#6012=ORIENTED_EDGE('',*,*,#4327,.T.); +#6013=ORIENTED_EDGE('',*,*,#4328,.T.); +#6014=ORIENTED_EDGE('',*,*,#4328,.F.); +#6015=ORIENTED_EDGE('',*,*,#4329,.T.); +#6016=ORIENTED_EDGE('',*,*,#4330,.F.); +#6017=ORIENTED_EDGE('',*,*,#4331,.F.); +#6018=ORIENTED_EDGE('',*,*,#4327,.F.); +#6019=ORIENTED_EDGE('',*,*,#4332,.T.); +#6020=ORIENTED_EDGE('',*,*,#4333,.F.); +#6021=ORIENTED_EDGE('',*,*,#4329,.F.); +#6022=ORIENTED_EDGE('',*,*,#4326,.F.); +#6023=ORIENTED_EDGE('',*,*,#4331,.T.); +#6024=ORIENTED_EDGE('',*,*,#4334,.F.); +#6025=ORIENTED_EDGE('',*,*,#4332,.F.); +#6026=ORIENTED_EDGE('',*,*,#4334,.T.); +#6027=ORIENTED_EDGE('',*,*,#4330,.T.); +#6028=ORIENTED_EDGE('',*,*,#4333,.T.); +#6029=ORIENTED_EDGE('',*,*,#4335,.T.); +#6030=ORIENTED_EDGE('',*,*,#4336,.T.); +#6031=ORIENTED_EDGE('',*,*,#4337,.T.); +#6032=ORIENTED_EDGE('',*,*,#4338,.T.); +#6033=ORIENTED_EDGE('',*,*,#4339,.F.); +#6034=ORIENTED_EDGE('',*,*,#4340,.T.); +#6035=ORIENTED_EDGE('',*,*,#4335,.F.); +#6036=ORIENTED_EDGE('',*,*,#4341,.F.); +#6037=ORIENTED_EDGE('',*,*,#4342,.F.); +#6038=ORIENTED_EDGE('',*,*,#4341,.T.); +#6039=ORIENTED_EDGE('',*,*,#4338,.F.); +#6040=ORIENTED_EDGE('',*,*,#4343,.F.); +#6041=ORIENTED_EDGE('',*,*,#4344,.F.); +#6042=ORIENTED_EDGE('',*,*,#4343,.T.); +#6043=ORIENTED_EDGE('',*,*,#4337,.F.); +#6044=ORIENTED_EDGE('',*,*,#4345,.F.); +#6045=ORIENTED_EDGE('',*,*,#4346,.F.); +#6046=ORIENTED_EDGE('',*,*,#4345,.T.); +#6047=ORIENTED_EDGE('',*,*,#4336,.F.); +#6048=ORIENTED_EDGE('',*,*,#4340,.F.); +#6049=ORIENTED_EDGE('',*,*,#4339,.T.); +#6050=ORIENTED_EDGE('',*,*,#4342,.T.); +#6051=ORIENTED_EDGE('',*,*,#4344,.T.); +#6052=ORIENTED_EDGE('',*,*,#4346,.T.); +#6053=ORIENTED_EDGE('',*,*,#4347,.T.); +#6054=ORIENTED_EDGE('',*,*,#4348,.T.); +#6055=ORIENTED_EDGE('',*,*,#4349,.T.); +#6056=ORIENTED_EDGE('',*,*,#4350,.T.); +#6057=ORIENTED_EDGE('',*,*,#4351,.T.); +#6058=ORIENTED_EDGE('',*,*,#4352,.T.); +#6059=ORIENTED_EDGE('',*,*,#4353,.T.); +#6060=ORIENTED_EDGE('',*,*,#4354,.T.); +#6061=ORIENTED_EDGE('',*,*,#4355,.T.); +#6062=ORIENTED_EDGE('',*,*,#4351,.F.); +#6063=ORIENTED_EDGE('',*,*,#4356,.T.); +#6064=ORIENTED_EDGE('',*,*,#4357,.F.); +#6065=ORIENTED_EDGE('',*,*,#4358,.F.); +#6066=ORIENTED_EDGE('',*,*,#4350,.F.); +#6067=ORIENTED_EDGE('',*,*,#4359,.T.); +#6068=ORIENTED_EDGE('',*,*,#4360,.F.); +#6069=ORIENTED_EDGE('',*,*,#4356,.F.); +#6070=ORIENTED_EDGE('',*,*,#4349,.F.); +#6071=ORIENTED_EDGE('',*,*,#4361,.T.); +#6072=ORIENTED_EDGE('',*,*,#4362,.F.); +#6073=ORIENTED_EDGE('',*,*,#4359,.F.); +#6074=ORIENTED_EDGE('',*,*,#4355,.F.); +#6075=ORIENTED_EDGE('',*,*,#4363,.T.); +#6076=ORIENTED_EDGE('',*,*,#4364,.F.); +#6077=ORIENTED_EDGE('',*,*,#4361,.F.); +#6078=ORIENTED_EDGE('',*,*,#4354,.F.); +#6079=ORIENTED_EDGE('',*,*,#4365,.T.); +#6080=ORIENTED_EDGE('',*,*,#4366,.F.); +#6081=ORIENTED_EDGE('',*,*,#4363,.F.); +#6082=ORIENTED_EDGE('',*,*,#4353,.F.); +#6083=ORIENTED_EDGE('',*,*,#4367,.T.); +#6084=ORIENTED_EDGE('',*,*,#4368,.F.); +#6085=ORIENTED_EDGE('',*,*,#4365,.F.); +#6086=ORIENTED_EDGE('',*,*,#4352,.F.); +#6087=ORIENTED_EDGE('',*,*,#4369,.T.); +#6088=ORIENTED_EDGE('',*,*,#4370,.F.); +#6089=ORIENTED_EDGE('',*,*,#4367,.F.); +#6090=ORIENTED_EDGE('',*,*,#4348,.F.); +#6091=ORIENTED_EDGE('',*,*,#4371,.T.); +#6092=ORIENTED_EDGE('',*,*,#4372,.F.); +#6093=ORIENTED_EDGE('',*,*,#4369,.F.); +#6094=ORIENTED_EDGE('',*,*,#4347,.F.); +#6095=ORIENTED_EDGE('',*,*,#4358,.T.); +#6096=ORIENTED_EDGE('',*,*,#4373,.F.); +#6097=ORIENTED_EDGE('',*,*,#4371,.F.); +#6098=ORIENTED_EDGE('',*,*,#4373,.T.); +#6099=ORIENTED_EDGE('',*,*,#4357,.T.); +#6100=ORIENTED_EDGE('',*,*,#4360,.T.); +#6101=ORIENTED_EDGE('',*,*,#4362,.T.); +#6102=ORIENTED_EDGE('',*,*,#4372,.T.); +#6103=ORIENTED_EDGE('',*,*,#4364,.T.); +#6104=ORIENTED_EDGE('',*,*,#4366,.T.); +#6105=ORIENTED_EDGE('',*,*,#4368,.T.); +#6106=ORIENTED_EDGE('',*,*,#4370,.T.); +#6107=ORIENTED_EDGE('',*,*,#4374,.F.); +#6108=ORIENTED_EDGE('',*,*,#4375,.T.); +#6109=ORIENTED_EDGE('',*,*,#4376,.F.); +#6110=ORIENTED_EDGE('',*,*,#4377,.F.); +#6111=ORIENTED_EDGE('',*,*,#4378,.F.); +#6112=ORIENTED_EDGE('',*,*,#4377,.T.); +#6113=ORIENTED_EDGE('',*,*,#4379,.F.); +#6114=ORIENTED_EDGE('',*,*,#4380,.F.); +#6115=ORIENTED_EDGE('',*,*,#4381,.F.); +#6116=ORIENTED_EDGE('',*,*,#4380,.T.); +#6117=ORIENTED_EDGE('',*,*,#4382,.F.); +#6118=ORIENTED_EDGE('',*,*,#4383,.F.); +#6119=ORIENTED_EDGE('',*,*,#4384,.F.); +#6120=ORIENTED_EDGE('',*,*,#4383,.T.); +#6121=ORIENTED_EDGE('',*,*,#4385,.F.); +#6122=ORIENTED_EDGE('',*,*,#4375,.F.); +#6123=ORIENTED_EDGE('',*,*,#4386,.F.); +#6124=ORIENTED_EDGE('',*,*,#4387,.T.); +#6125=ORIENTED_EDGE('',*,*,#4388,.F.); +#6126=ORIENTED_EDGE('',*,*,#4389,.F.); +#6127=ORIENTED_EDGE('',*,*,#4390,.F.); +#6128=ORIENTED_EDGE('',*,*,#4389,.T.); +#6129=ORIENTED_EDGE('',*,*,#4391,.F.); +#6130=ORIENTED_EDGE('',*,*,#4392,.F.); +#6131=ORIENTED_EDGE('',*,*,#4393,.F.); +#6132=ORIENTED_EDGE('',*,*,#4392,.T.); +#6133=ORIENTED_EDGE('',*,*,#4394,.F.); +#6134=ORIENTED_EDGE('',*,*,#4395,.F.); +#6135=ORIENTED_EDGE('',*,*,#4396,.F.); +#6136=ORIENTED_EDGE('',*,*,#4395,.T.); +#6137=ORIENTED_EDGE('',*,*,#4397,.F.); +#6138=ORIENTED_EDGE('',*,*,#4387,.F.); +#6139=ORIENTED_EDGE('',*,*,#4398,.F.); +#6140=ORIENTED_EDGE('',*,*,#4399,.T.); +#6141=ORIENTED_EDGE('',*,*,#4400,.F.); +#6142=ORIENTED_EDGE('',*,*,#4401,.F.); +#6143=ORIENTED_EDGE('',*,*,#4402,.F.); +#6144=ORIENTED_EDGE('',*,*,#4401,.T.); +#6145=ORIENTED_EDGE('',*,*,#4403,.F.); +#6146=ORIENTED_EDGE('',*,*,#4404,.F.); +#6147=ORIENTED_EDGE('',*,*,#4405,.F.); +#6148=ORIENTED_EDGE('',*,*,#4404,.T.); +#6149=ORIENTED_EDGE('',*,*,#4406,.F.); +#6150=ORIENTED_EDGE('',*,*,#4407,.F.); +#6151=ORIENTED_EDGE('',*,*,#4408,.F.); +#6152=ORIENTED_EDGE('',*,*,#4407,.T.); +#6153=ORIENTED_EDGE('',*,*,#4409,.F.); +#6154=ORIENTED_EDGE('',*,*,#4410,.F.); +#6155=ORIENTED_EDGE('',*,*,#4411,.F.); +#6156=ORIENTED_EDGE('',*,*,#4410,.T.); +#6157=ORIENTED_EDGE('',*,*,#4412,.F.); +#6158=ORIENTED_EDGE('',*,*,#4413,.F.); +#6159=ORIENTED_EDGE('',*,*,#4414,.F.); +#6160=ORIENTED_EDGE('',*,*,#4413,.T.); +#6161=ORIENTED_EDGE('',*,*,#4415,.F.); +#6162=ORIENTED_EDGE('',*,*,#4416,.F.); +#6163=ORIENTED_EDGE('',*,*,#4417,.F.); +#6164=ORIENTED_EDGE('',*,*,#4416,.T.); +#6165=ORIENTED_EDGE('',*,*,#4418,.F.); +#6166=ORIENTED_EDGE('',*,*,#4419,.F.); +#6167=ORIENTED_EDGE('',*,*,#4420,.F.); +#6168=ORIENTED_EDGE('',*,*,#4419,.T.); +#6169=ORIENTED_EDGE('',*,*,#4421,.F.); +#6170=ORIENTED_EDGE('',*,*,#4422,.F.); +#6171=ORIENTED_EDGE('',*,*,#4423,.F.); +#6172=ORIENTED_EDGE('',*,*,#4422,.T.); +#6173=ORIENTED_EDGE('',*,*,#4424,.F.); +#6174=ORIENTED_EDGE('',*,*,#4425,.F.); +#6175=ORIENTED_EDGE('',*,*,#4426,.F.); +#6176=ORIENTED_EDGE('',*,*,#4425,.T.); +#6177=ORIENTED_EDGE('',*,*,#4427,.F.); +#6178=ORIENTED_EDGE('',*,*,#4428,.F.); +#6179=ORIENTED_EDGE('',*,*,#4429,.F.); +#6180=ORIENTED_EDGE('',*,*,#4428,.T.); +#6181=ORIENTED_EDGE('',*,*,#4430,.F.); +#6182=ORIENTED_EDGE('',*,*,#4431,.F.); +#6183=ORIENTED_EDGE('',*,*,#4432,.F.); +#6184=ORIENTED_EDGE('',*,*,#4431,.T.); +#6185=ORIENTED_EDGE('',*,*,#4433,.F.); +#6186=ORIENTED_EDGE('',*,*,#4434,.F.); +#6187=ORIENTED_EDGE('',*,*,#4435,.F.); +#6188=ORIENTED_EDGE('',*,*,#4434,.T.); +#6189=ORIENTED_EDGE('',*,*,#4436,.F.); +#6190=ORIENTED_EDGE('',*,*,#4437,.F.); +#6191=ORIENTED_EDGE('',*,*,#4438,.F.); +#6192=ORIENTED_EDGE('',*,*,#4437,.T.); +#6193=ORIENTED_EDGE('',*,*,#4439,.F.); +#6194=ORIENTED_EDGE('',*,*,#4440,.F.); +#6195=ORIENTED_EDGE('',*,*,#4441,.F.); +#6196=ORIENTED_EDGE('',*,*,#4442,.T.); +#6197=ORIENTED_EDGE('',*,*,#4443,.F.); +#6198=ORIENTED_EDGE('',*,*,#4444,.F.); +#6199=ORIENTED_EDGE('',*,*,#4445,.F.); +#6200=ORIENTED_EDGE('',*,*,#4444,.T.); +#6201=ORIENTED_EDGE('',*,*,#4446,.F.); +#6202=ORIENTED_EDGE('',*,*,#4447,.F.); +#6203=ORIENTED_EDGE('',*,*,#4448,.F.); +#6204=ORIENTED_EDGE('',*,*,#4447,.T.); +#6205=ORIENTED_EDGE('',*,*,#4449,.F.); +#6206=ORIENTED_EDGE('',*,*,#4450,.F.); +#6207=ORIENTED_EDGE('',*,*,#4451,.F.); +#6208=ORIENTED_EDGE('',*,*,#4450,.T.); +#6209=ORIENTED_EDGE('',*,*,#4452,.F.); +#6210=ORIENTED_EDGE('',*,*,#4453,.F.); +#6211=ORIENTED_EDGE('',*,*,#4454,.F.); +#6212=ORIENTED_EDGE('',*,*,#4453,.T.); +#6213=ORIENTED_EDGE('',*,*,#4455,.F.); +#6214=ORIENTED_EDGE('',*,*,#4456,.F.); +#6215=ORIENTED_EDGE('',*,*,#4457,.F.); +#6216=ORIENTED_EDGE('',*,*,#4456,.T.); +#6217=ORIENTED_EDGE('',*,*,#4458,.F.); +#6218=ORIENTED_EDGE('',*,*,#4459,.F.); +#6219=ORIENTED_EDGE('',*,*,#4460,.F.); +#6220=ORIENTED_EDGE('',*,*,#4459,.T.); +#6221=ORIENTED_EDGE('',*,*,#4461,.F.); +#6222=ORIENTED_EDGE('',*,*,#4462,.F.); +#6223=ORIENTED_EDGE('',*,*,#4463,.F.); +#6224=ORIENTED_EDGE('',*,*,#4462,.T.); +#6225=ORIENTED_EDGE('',*,*,#4464,.F.); +#6226=ORIENTED_EDGE('',*,*,#4465,.F.); +#6227=ORIENTED_EDGE('',*,*,#4466,.F.); +#6228=ORIENTED_EDGE('',*,*,#4465,.T.); +#6229=ORIENTED_EDGE('',*,*,#4467,.F.); +#6230=ORIENTED_EDGE('',*,*,#4399,.F.); +#6231=ORIENTED_EDGE('',*,*,#4467,.T.); +#6232=ORIENTED_EDGE('',*,*,#4464,.T.); +#6233=ORIENTED_EDGE('',*,*,#4461,.T.); +#6234=ORIENTED_EDGE('',*,*,#4458,.T.); +#6235=ORIENTED_EDGE('',*,*,#4455,.T.); +#6236=ORIENTED_EDGE('',*,*,#4452,.T.); +#6237=ORIENTED_EDGE('',*,*,#4449,.T.); +#6238=ORIENTED_EDGE('',*,*,#4446,.T.); +#6239=ORIENTED_EDGE('',*,*,#4443,.T.); +#6240=ORIENTED_EDGE('',*,*,#4468,.T.); +#6241=ORIENTED_EDGE('',*,*,#4469,.T.); +#6242=ORIENTED_EDGE('',*,*,#4470,.T.); +#6243=ORIENTED_EDGE('',*,*,#4471,.T.); +#6244=ORIENTED_EDGE('',*,*,#4472,.T.); +#6245=ORIENTED_EDGE('',*,*,#4473,.T.); +#6246=ORIENTED_EDGE('',*,*,#4474,.T.); +#6247=ORIENTED_EDGE('',*,*,#4475,.T.); +#6248=ORIENTED_EDGE('',*,*,#4476,.T.); +#6249=ORIENTED_EDGE('',*,*,#4439,.T.); +#6250=ORIENTED_EDGE('',*,*,#4436,.T.); +#6251=ORIENTED_EDGE('',*,*,#4433,.T.); +#6252=ORIENTED_EDGE('',*,*,#4430,.T.); +#6253=ORIENTED_EDGE('',*,*,#4427,.T.); +#6254=ORIENTED_EDGE('',*,*,#4424,.T.); +#6255=ORIENTED_EDGE('',*,*,#4421,.T.); +#6256=ORIENTED_EDGE('',*,*,#4418,.T.); +#6257=ORIENTED_EDGE('',*,*,#4415,.T.); +#6258=ORIENTED_EDGE('',*,*,#4412,.T.); +#6259=ORIENTED_EDGE('',*,*,#4409,.T.); +#6260=ORIENTED_EDGE('',*,*,#4406,.T.); +#6261=ORIENTED_EDGE('',*,*,#4403,.T.); +#6262=ORIENTED_EDGE('',*,*,#4400,.T.); +#6263=ORIENTED_EDGE('',*,*,#4397,.T.); +#6264=ORIENTED_EDGE('',*,*,#4394,.T.); +#6265=ORIENTED_EDGE('',*,*,#4391,.T.); +#6266=ORIENTED_EDGE('',*,*,#4388,.T.); +#6267=ORIENTED_EDGE('',*,*,#4385,.T.); +#6268=ORIENTED_EDGE('',*,*,#4382,.T.); +#6269=ORIENTED_EDGE('',*,*,#4379,.T.); +#6270=ORIENTED_EDGE('',*,*,#4376,.T.); +#6271=ORIENTED_EDGE('',*,*,#4386,.T.); +#6272=ORIENTED_EDGE('',*,*,#4390,.T.); +#6273=ORIENTED_EDGE('',*,*,#4393,.T.); +#6274=ORIENTED_EDGE('',*,*,#4396,.T.); +#6275=ORIENTED_EDGE('',*,*,#4374,.T.); +#6276=ORIENTED_EDGE('',*,*,#4378,.T.); +#6277=ORIENTED_EDGE('',*,*,#4381,.T.); +#6278=ORIENTED_EDGE('',*,*,#4384,.T.); +#6279=ORIENTED_EDGE('',*,*,#4477,.F.); +#6280=ORIENTED_EDGE('',*,*,#4478,.T.); +#6281=ORIENTED_EDGE('',*,*,#4474,.F.); +#6282=ORIENTED_EDGE('',*,*,#4479,.F.); +#6283=ORIENTED_EDGE('',*,*,#4480,.T.); +#6284=ORIENTED_EDGE('',*,*,#4479,.T.); +#6285=ORIENTED_EDGE('',*,*,#4473,.F.); +#6286=ORIENTED_EDGE('',*,*,#4481,.F.); +#6287=ORIENTED_EDGE('',*,*,#4482,.T.); +#6288=ORIENTED_EDGE('',*,*,#4481,.T.); +#6289=ORIENTED_EDGE('',*,*,#4472,.F.); +#6290=ORIENTED_EDGE('',*,*,#4483,.F.); +#6291=ORIENTED_EDGE('',*,*,#4484,.T.); +#6292=ORIENTED_EDGE('',*,*,#4483,.T.); +#6293=ORIENTED_EDGE('',*,*,#4471,.F.); +#6294=ORIENTED_EDGE('',*,*,#4485,.F.); +#6295=ORIENTED_EDGE('',*,*,#4486,.T.); +#6296=ORIENTED_EDGE('',*,*,#4485,.T.); +#6297=ORIENTED_EDGE('',*,*,#4470,.F.); +#6298=ORIENTED_EDGE('',*,*,#4487,.F.); +#6299=ORIENTED_EDGE('',*,*,#4488,.F.); +#6300=ORIENTED_EDGE('',*,*,#4487,.T.); +#6301=ORIENTED_EDGE('',*,*,#4469,.F.); +#6302=ORIENTED_EDGE('',*,*,#4489,.F.); +#6303=ORIENTED_EDGE('',*,*,#4490,.T.); +#6304=ORIENTED_EDGE('',*,*,#4489,.T.); +#6305=ORIENTED_EDGE('',*,*,#4468,.F.); +#6306=ORIENTED_EDGE('',*,*,#4442,.F.); +#6307=ORIENTED_EDGE('',*,*,#4491,.F.); +#6308=ORIENTED_EDGE('',*,*,#4492,.F.); +#6309=ORIENTED_EDGE('',*,*,#4493,.F.); +#6310=ORIENTED_EDGE('',*,*,#4492,.T.); +#6311=ORIENTED_EDGE('',*,*,#4494,.F.); +#6312=ORIENTED_EDGE('',*,*,#4495,.F.); +#6313=ORIENTED_EDGE('',*,*,#4496,.T.); +#6314=ORIENTED_EDGE('',*,*,#4495,.T.); +#6315=ORIENTED_EDGE('',*,*,#4497,.F.); +#6316=ORIENTED_EDGE('',*,*,#4498,.F.); +#6317=ORIENTED_EDGE('',*,*,#4499,.F.); +#6318=ORIENTED_EDGE('',*,*,#4498,.T.); +#6319=ORIENTED_EDGE('',*,*,#4500,.F.); +#6320=ORIENTED_EDGE('',*,*,#4501,.F.); +#6321=ORIENTED_EDGE('',*,*,#4502,.T.); +#6322=ORIENTED_EDGE('',*,*,#4501,.T.); +#6323=ORIENTED_EDGE('',*,*,#4503,.F.); +#6324=ORIENTED_EDGE('',*,*,#4504,.F.); +#6325=ORIENTED_EDGE('',*,*,#4505,.F.); +#6326=ORIENTED_EDGE('',*,*,#4504,.T.); +#6327=ORIENTED_EDGE('',*,*,#4506,.F.); +#6328=ORIENTED_EDGE('',*,*,#4507,.F.); +#6329=ORIENTED_EDGE('',*,*,#4508,.T.); +#6330=ORIENTED_EDGE('',*,*,#4507,.T.); +#6331=ORIENTED_EDGE('',*,*,#4509,.F.); +#6332=ORIENTED_EDGE('',*,*,#4510,.F.); +#6333=ORIENTED_EDGE('',*,*,#4511,.T.); +#6334=ORIENTED_EDGE('',*,*,#4510,.T.); +#6335=ORIENTED_EDGE('',*,*,#4512,.F.); +#6336=ORIENTED_EDGE('',*,*,#4513,.F.); +#6337=ORIENTED_EDGE('',*,*,#4514,.F.); +#6338=ORIENTED_EDGE('',*,*,#4513,.T.); +#6339=ORIENTED_EDGE('',*,*,#4515,.F.); +#6340=ORIENTED_EDGE('',*,*,#4516,.F.); +#6341=ORIENTED_EDGE('',*,*,#4517,.T.); +#6342=ORIENTED_EDGE('',*,*,#4516,.T.); +#6343=ORIENTED_EDGE('',*,*,#4518,.F.); +#6344=ORIENTED_EDGE('',*,*,#4519,.F.); +#6345=ORIENTED_EDGE('',*,*,#4520,.F.); +#6346=ORIENTED_EDGE('',*,*,#4519,.T.); +#6347=ORIENTED_EDGE('',*,*,#4521,.F.); +#6348=ORIENTED_EDGE('',*,*,#4522,.F.); +#6349=ORIENTED_EDGE('',*,*,#4523,.F.); +#6350=ORIENTED_EDGE('',*,*,#4522,.T.); +#6351=ORIENTED_EDGE('',*,*,#4524,.F.); +#6352=ORIENTED_EDGE('',*,*,#4525,.F.); +#6353=ORIENTED_EDGE('',*,*,#4526,.F.); +#6354=ORIENTED_EDGE('',*,*,#4525,.T.); +#6355=ORIENTED_EDGE('',*,*,#4527,.F.); +#6356=ORIENTED_EDGE('',*,*,#4528,.F.); +#6357=ORIENTED_EDGE('',*,*,#4529,.F.); +#6358=ORIENTED_EDGE('',*,*,#4528,.T.); +#6359=ORIENTED_EDGE('',*,*,#4530,.F.); +#6360=ORIENTED_EDGE('',*,*,#4531,.F.); +#6361=ORIENTED_EDGE('',*,*,#4532,.T.); +#6362=ORIENTED_EDGE('',*,*,#4531,.T.); +#6363=ORIENTED_EDGE('',*,*,#4533,.F.); +#6364=ORIENTED_EDGE('',*,*,#4534,.F.); +#6365=ORIENTED_EDGE('',*,*,#4535,.F.); +#6366=ORIENTED_EDGE('',*,*,#4534,.T.); +#6367=ORIENTED_EDGE('',*,*,#4536,.F.); +#6368=ORIENTED_EDGE('',*,*,#4537,.F.); +#6369=ORIENTED_EDGE('',*,*,#4538,.T.); +#6370=ORIENTED_EDGE('',*,*,#4537,.T.); +#6371=ORIENTED_EDGE('',*,*,#4539,.F.); +#6372=ORIENTED_EDGE('',*,*,#4540,.F.); +#6373=ORIENTED_EDGE('',*,*,#4541,.F.); +#6374=ORIENTED_EDGE('',*,*,#4540,.T.); +#6375=ORIENTED_EDGE('',*,*,#4542,.F.); +#6376=ORIENTED_EDGE('',*,*,#4543,.F.); +#6377=ORIENTED_EDGE('',*,*,#4544,.T.); +#6378=ORIENTED_EDGE('',*,*,#4543,.T.); +#6379=ORIENTED_EDGE('',*,*,#4545,.F.); +#6380=ORIENTED_EDGE('',*,*,#4546,.F.); +#6381=ORIENTED_EDGE('',*,*,#4547,.F.); +#6382=ORIENTED_EDGE('',*,*,#4546,.T.); +#6383=ORIENTED_EDGE('',*,*,#4548,.F.); +#6384=ORIENTED_EDGE('',*,*,#4549,.F.); +#6385=ORIENTED_EDGE('',*,*,#4550,.T.); +#6386=ORIENTED_EDGE('',*,*,#4549,.T.); +#6387=ORIENTED_EDGE('',*,*,#4551,.F.); +#6388=ORIENTED_EDGE('',*,*,#4440,.T.); +#6389=ORIENTED_EDGE('',*,*,#4476,.F.); +#6390=ORIENTED_EDGE('',*,*,#4552,.F.); +#6391=ORIENTED_EDGE('',*,*,#4553,.F.); +#6392=ORIENTED_EDGE('',*,*,#4552,.T.); +#6393=ORIENTED_EDGE('',*,*,#4475,.F.); +#6394=ORIENTED_EDGE('',*,*,#4478,.F.); +#6395=ORIENTED_EDGE('',*,*,#4551,.T.); +#6396=ORIENTED_EDGE('',*,*,#4548,.T.); +#6397=ORIENTED_EDGE('',*,*,#4545,.T.); +#6398=ORIENTED_EDGE('',*,*,#4542,.T.); +#6399=ORIENTED_EDGE('',*,*,#4539,.T.); +#6400=ORIENTED_EDGE('',*,*,#4536,.T.); +#6401=ORIENTED_EDGE('',*,*,#4533,.T.); +#6402=ORIENTED_EDGE('',*,*,#4530,.T.); +#6403=ORIENTED_EDGE('',*,*,#4527,.T.); +#6404=ORIENTED_EDGE('',*,*,#4524,.T.); +#6405=ORIENTED_EDGE('',*,*,#4521,.T.); +#6406=ORIENTED_EDGE('',*,*,#4518,.T.); +#6407=ORIENTED_EDGE('',*,*,#4515,.T.); +#6408=ORIENTED_EDGE('',*,*,#4512,.T.); +#6409=ORIENTED_EDGE('',*,*,#4509,.T.); +#6410=ORIENTED_EDGE('',*,*,#4506,.T.); +#6411=ORIENTED_EDGE('',*,*,#4503,.T.); +#6412=ORIENTED_EDGE('',*,*,#4500,.T.); +#6413=ORIENTED_EDGE('',*,*,#4497,.T.); +#6414=ORIENTED_EDGE('',*,*,#4494,.T.); +#6415=ORIENTED_EDGE('',*,*,#4491,.T.); +#6416=ORIENTED_EDGE('',*,*,#4441,.T.); +#6417=ORIENTED_EDGE('',*,*,#4445,.T.); +#6418=ORIENTED_EDGE('',*,*,#4448,.T.); +#6419=ORIENTED_EDGE('',*,*,#4451,.T.); +#6420=ORIENTED_EDGE('',*,*,#4454,.T.); +#6421=ORIENTED_EDGE('',*,*,#4457,.T.); +#6422=ORIENTED_EDGE('',*,*,#4460,.T.); +#6423=ORIENTED_EDGE('',*,*,#4463,.T.); +#6424=ORIENTED_EDGE('',*,*,#4466,.T.); +#6425=ORIENTED_EDGE('',*,*,#4398,.T.); +#6426=ORIENTED_EDGE('',*,*,#4402,.T.); +#6427=ORIENTED_EDGE('',*,*,#4405,.T.); +#6428=ORIENTED_EDGE('',*,*,#4408,.T.); +#6429=ORIENTED_EDGE('',*,*,#4411,.T.); +#6430=ORIENTED_EDGE('',*,*,#4414,.T.); +#6431=ORIENTED_EDGE('',*,*,#4417,.T.); +#6432=ORIENTED_EDGE('',*,*,#4420,.T.); +#6433=ORIENTED_EDGE('',*,*,#4423,.T.); +#6434=ORIENTED_EDGE('',*,*,#4426,.T.); +#6435=ORIENTED_EDGE('',*,*,#4429,.T.); +#6436=ORIENTED_EDGE('',*,*,#4432,.T.); +#6437=ORIENTED_EDGE('',*,*,#4435,.T.); +#6438=ORIENTED_EDGE('',*,*,#4438,.T.); +#6439=ORIENTED_EDGE('',*,*,#4553,.T.); +#6440=ORIENTED_EDGE('',*,*,#4477,.T.); +#6441=ORIENTED_EDGE('',*,*,#4480,.F.); +#6442=ORIENTED_EDGE('',*,*,#4482,.F.); +#6443=ORIENTED_EDGE('',*,*,#4484,.F.); +#6444=ORIENTED_EDGE('',*,*,#4486,.F.); +#6445=ORIENTED_EDGE('',*,*,#4488,.T.); +#6446=ORIENTED_EDGE('',*,*,#4490,.F.); +#6447=ORIENTED_EDGE('',*,*,#4493,.T.); +#6448=ORIENTED_EDGE('',*,*,#4496,.F.); +#6449=ORIENTED_EDGE('',*,*,#4499,.T.); +#6450=ORIENTED_EDGE('',*,*,#4502,.F.); +#6451=ORIENTED_EDGE('',*,*,#4505,.T.); +#6452=ORIENTED_EDGE('',*,*,#4508,.F.); +#6453=ORIENTED_EDGE('',*,*,#4511,.F.); +#6454=ORIENTED_EDGE('',*,*,#4514,.T.); +#6455=ORIENTED_EDGE('',*,*,#4517,.F.); +#6456=ORIENTED_EDGE('',*,*,#4520,.T.); +#6457=ORIENTED_EDGE('',*,*,#4523,.T.); +#6458=ORIENTED_EDGE('',*,*,#4526,.T.); +#6459=ORIENTED_EDGE('',*,*,#4529,.T.); +#6460=ORIENTED_EDGE('',*,*,#4532,.F.); +#6461=ORIENTED_EDGE('',*,*,#4535,.T.); +#6462=ORIENTED_EDGE('',*,*,#4538,.F.); +#6463=ORIENTED_EDGE('',*,*,#4541,.T.); +#6464=ORIENTED_EDGE('',*,*,#4544,.F.); +#6465=ORIENTED_EDGE('',*,*,#4547,.T.); +#6466=ORIENTED_EDGE('',*,*,#4550,.F.); +#6467=ORIENTED_EDGE('',*,*,#4554,.T.); +#6468=ORIENTED_EDGE('',*,*,#4555,.T.); +#6469=ORIENTED_EDGE('',*,*,#4556,.T.); +#6470=ORIENTED_EDGE('',*,*,#4557,.T.); +#6471=ORIENTED_EDGE('',*,*,#4555,.F.); +#6472=ORIENTED_EDGE('',*,*,#4558,.T.); +#6473=ORIENTED_EDGE('',*,*,#4559,.F.); +#6474=ORIENTED_EDGE('',*,*,#4560,.T.); +#6475=ORIENTED_EDGE('',*,*,#4554,.F.); +#6476=ORIENTED_EDGE('',*,*,#4561,.F.); +#6477=ORIENTED_EDGE('',*,*,#4562,.F.); +#6478=ORIENTED_EDGE('',*,*,#4563,.T.); +#6479=ORIENTED_EDGE('',*,*,#4564,.F.); +#6480=ORIENTED_EDGE('',*,*,#4558,.F.); +#6481=ORIENTED_EDGE('',*,*,#4565,.T.); +#6482=ORIENTED_EDGE('',*,*,#4559,.T.); +#6483=ORIENTED_EDGE('',*,*,#4564,.T.); +#6484=ORIENTED_EDGE('',*,*,#4566,.T.); +#6485=ORIENTED_EDGE('',*,*,#4556,.F.); +#6486=ORIENTED_EDGE('',*,*,#4560,.F.); +#6487=ORIENTED_EDGE('',*,*,#4565,.F.); +#6488=ORIENTED_EDGE('',*,*,#4567,.F.); +#6489=ORIENTED_EDGE('',*,*,#4568,.F.); +#6490=ORIENTED_EDGE('',*,*,#4569,.T.); +#6491=ORIENTED_EDGE('',*,*,#4566,.F.); +#6492=ORIENTED_EDGE('',*,*,#4563,.F.); +#6493=ORIENTED_EDGE('',*,*,#4570,.F.); +#6494=ORIENTED_EDGE('',*,*,#4567,.T.); +#6495=ORIENTED_EDGE('',*,*,#4571,.T.); +#6496=ORIENTED_EDGE('',*,*,#4572,.T.); +#6497=ORIENTED_EDGE('',*,*,#4573,.T.); +#6498=ORIENTED_EDGE('',*,*,#4574,.T.); +#6499=ORIENTED_EDGE('',*,*,#4572,.F.); +#6500=ORIENTED_EDGE('',*,*,#4575,.T.); +#6501=ORIENTED_EDGE('',*,*,#4576,.F.); +#6502=ORIENTED_EDGE('',*,*,#4577,.T.); +#6503=ORIENTED_EDGE('',*,*,#4571,.F.); +#6504=ORIENTED_EDGE('',*,*,#4578,.F.); +#6505=ORIENTED_EDGE('',*,*,#4579,.F.); +#6506=ORIENTED_EDGE('',*,*,#4580,.T.); +#6507=ORIENTED_EDGE('',*,*,#4581,.F.); +#6508=ORIENTED_EDGE('',*,*,#4575,.F.); +#6509=ORIENTED_EDGE('',*,*,#4582,.T.); +#6510=ORIENTED_EDGE('',*,*,#4576,.T.); +#6511=ORIENTED_EDGE('',*,*,#4581,.T.); +#6512=ORIENTED_EDGE('',*,*,#4583,.T.); +#6513=ORIENTED_EDGE('',*,*,#4573,.F.); +#6514=ORIENTED_EDGE('',*,*,#4577,.F.); +#6515=ORIENTED_EDGE('',*,*,#4582,.F.); +#6516=ORIENTED_EDGE('',*,*,#4584,.F.); +#6517=ORIENTED_EDGE('',*,*,#4585,.F.); +#6518=ORIENTED_EDGE('',*,*,#4586,.T.); +#6519=ORIENTED_EDGE('',*,*,#4583,.F.); +#6520=ORIENTED_EDGE('',*,*,#4580,.F.); +#6521=ORIENTED_EDGE('',*,*,#4587,.F.); +#6522=ORIENTED_EDGE('',*,*,#4584,.T.); +#6523=ORIENTED_EDGE('',*,*,#4588,.T.); +#6524=ORIENTED_EDGE('',*,*,#4589,.T.); +#6525=ORIENTED_EDGE('',*,*,#4590,.T.); +#6526=ORIENTED_EDGE('',*,*,#4589,.F.); +#6527=ORIENTED_EDGE('',*,*,#4590,.F.); +#6528=ORIENTED_EDGE('',*,*,#4591,.T.); +#6529=ORIENTED_EDGE('',*,*,#4592,.F.); +#6530=ORIENTED_EDGE('',*,*,#4591,.F.); +#6531=ORIENTED_EDGE('',*,*,#4588,.F.); +#6532=ORIENTED_EDGE('',*,*,#4574,.F.); +#6533=ORIENTED_EDGE('',*,*,#4586,.F.); +#6534=ORIENTED_EDGE('',*,*,#4593,.F.); +#6535=ORIENTED_EDGE('',*,*,#4578,.T.); +#6536=ORIENTED_EDGE('',*,*,#4594,.F.); +#6537=ORIENTED_EDGE('',*,*,#4595,.T.); +#6538=ORIENTED_EDGE('',*,*,#4596,.F.); +#6539=ORIENTED_EDGE('',*,*,#4595,.F.); +#6540=ORIENTED_EDGE('',*,*,#4594,.T.); +#6541=ORIENTED_EDGE('',*,*,#4557,.F.); +#6542=ORIENTED_EDGE('',*,*,#4569,.F.); +#6543=ORIENTED_EDGE('',*,*,#4597,.F.); +#6544=ORIENTED_EDGE('',*,*,#4561,.T.); +#6545=ORIENTED_EDGE('',*,*,#4598,.F.); +#6546=ORIENTED_EDGE('',*,*,#4599,.T.); +#6547=ORIENTED_EDGE('',*,*,#4600,.F.); +#6548=ORIENTED_EDGE('',*,*,#4599,.F.); +#6549=ORIENTED_EDGE('',*,*,#4598,.T.); +#6550=ORIENTED_EDGE('',*,*,#4601,.T.); +#6551=ORIENTED_EDGE('',*,*,#4602,.T.); +#6552=ORIENTED_EDGE('',*,*,#4603,.F.); +#6553=ORIENTED_EDGE('',*,*,#4604,.F.); +#6554=ORIENTED_EDGE('',*,*,#4605,.T.); +#6555=ORIENTED_EDGE('',*,*,#4604,.T.); +#6556=ORIENTED_EDGE('',*,*,#4606,.F.); +#6557=ORIENTED_EDGE('',*,*,#4607,.F.); +#6558=ORIENTED_EDGE('',*,*,#4608,.T.); +#6559=ORIENTED_EDGE('',*,*,#4607,.T.); +#6560=ORIENTED_EDGE('',*,*,#4609,.F.); +#6561=ORIENTED_EDGE('',*,*,#4602,.F.); +#6562=ORIENTED_EDGE('',*,*,#4610,.T.); +#6563=ORIENTED_EDGE('',*,*,#4611,.T.); +#6564=ORIENTED_EDGE('',*,*,#4612,.F.); +#6565=ORIENTED_EDGE('',*,*,#4613,.F.); +#6566=ORIENTED_EDGE('',*,*,#4614,.T.); +#6567=ORIENTED_EDGE('',*,*,#4613,.T.); +#6568=ORIENTED_EDGE('',*,*,#4615,.F.); +#6569=ORIENTED_EDGE('',*,*,#4616,.F.); +#6570=ORIENTED_EDGE('',*,*,#4617,.T.); +#6571=ORIENTED_EDGE('',*,*,#4616,.T.); +#6572=ORIENTED_EDGE('',*,*,#4618,.F.); +#6573=ORIENTED_EDGE('',*,*,#4611,.F.); +#6574=ORIENTED_EDGE('',*,*,#4619,.T.); +#6575=ORIENTED_EDGE('',*,*,#4620,.T.); +#6576=ORIENTED_EDGE('',*,*,#4621,.F.); +#6577=ORIENTED_EDGE('',*,*,#4622,.F.); +#6578=ORIENTED_EDGE('',*,*,#4623,.T.); +#6579=ORIENTED_EDGE('',*,*,#4622,.T.); +#6580=ORIENTED_EDGE('',*,*,#4624,.F.); +#6581=ORIENTED_EDGE('',*,*,#4625,.F.); +#6582=ORIENTED_EDGE('',*,*,#4626,.T.); +#6583=ORIENTED_EDGE('',*,*,#4625,.T.); +#6584=ORIENTED_EDGE('',*,*,#4627,.F.); +#6585=ORIENTED_EDGE('',*,*,#4628,.F.); +#6586=ORIENTED_EDGE('',*,*,#4629,.T.); +#6587=ORIENTED_EDGE('',*,*,#4628,.T.); +#6588=ORIENTED_EDGE('',*,*,#4630,.F.); +#6589=ORIENTED_EDGE('',*,*,#4631,.F.); +#6590=ORIENTED_EDGE('',*,*,#4632,.T.); +#6591=ORIENTED_EDGE('',*,*,#4631,.T.); +#6592=ORIENTED_EDGE('',*,*,#4633,.F.); +#6593=ORIENTED_EDGE('',*,*,#4634,.F.); +#6594=ORIENTED_EDGE('',*,*,#4635,.T.); +#6595=ORIENTED_EDGE('',*,*,#4634,.T.); +#6596=ORIENTED_EDGE('',*,*,#4636,.F.); +#6597=ORIENTED_EDGE('',*,*,#4637,.F.); +#6598=ORIENTED_EDGE('',*,*,#4638,.T.); +#6599=ORIENTED_EDGE('',*,*,#4637,.T.); +#6600=ORIENTED_EDGE('',*,*,#4639,.F.); +#6601=ORIENTED_EDGE('',*,*,#4640,.F.); +#6602=ORIENTED_EDGE('',*,*,#4641,.T.); +#6603=ORIENTED_EDGE('',*,*,#4640,.T.); +#6604=ORIENTED_EDGE('',*,*,#4642,.F.); +#6605=ORIENTED_EDGE('',*,*,#4620,.F.); +#6606=ORIENTED_EDGE('',*,*,#4642,.T.); +#6607=ORIENTED_EDGE('',*,*,#4639,.T.); +#6608=ORIENTED_EDGE('',*,*,#4636,.T.); +#6609=ORIENTED_EDGE('',*,*,#4633,.T.); +#6610=ORIENTED_EDGE('',*,*,#4630,.T.); +#6611=ORIENTED_EDGE('',*,*,#4627,.T.); +#6612=ORIENTED_EDGE('',*,*,#4624,.T.); +#6613=ORIENTED_EDGE('',*,*,#4621,.T.); +#6614=ORIENTED_EDGE('',*,*,#4587,.T.); +#6615=ORIENTED_EDGE('',*,*,#4579,.T.); +#6616=ORIENTED_EDGE('',*,*,#4593,.T.); +#6617=ORIENTED_EDGE('',*,*,#4585,.T.); +#6618=ORIENTED_EDGE('',*,*,#4596,.T.); +#6619=ORIENTED_EDGE('',*,*,#4570,.T.); +#6620=ORIENTED_EDGE('',*,*,#4562,.T.); +#6621=ORIENTED_EDGE('',*,*,#4597,.T.); +#6622=ORIENTED_EDGE('',*,*,#4568,.T.); +#6623=ORIENTED_EDGE('',*,*,#4600,.T.); +#6624=ORIENTED_EDGE('',*,*,#4592,.T.); +#6625=ORIENTED_EDGE('',*,*,#4618,.T.); +#6626=ORIENTED_EDGE('',*,*,#4615,.T.); +#6627=ORIENTED_EDGE('',*,*,#4612,.T.); +#6628=ORIENTED_EDGE('',*,*,#4609,.T.); +#6629=ORIENTED_EDGE('',*,*,#4606,.T.); +#6630=ORIENTED_EDGE('',*,*,#4603,.T.); +#6631=ORIENTED_EDGE('',*,*,#4641,.F.); +#6632=ORIENTED_EDGE('',*,*,#4619,.F.); +#6633=ORIENTED_EDGE('',*,*,#4623,.F.); +#6634=ORIENTED_EDGE('',*,*,#4626,.F.); +#6635=ORIENTED_EDGE('',*,*,#4629,.F.); +#6636=ORIENTED_EDGE('',*,*,#4632,.F.); +#6637=ORIENTED_EDGE('',*,*,#4635,.F.); +#6638=ORIENTED_EDGE('',*,*,#4638,.F.); +#6639=ORIENTED_EDGE('',*,*,#4617,.F.); +#6640=ORIENTED_EDGE('',*,*,#4610,.F.); +#6641=ORIENTED_EDGE('',*,*,#4614,.F.); +#6642=ORIENTED_EDGE('',*,*,#4608,.F.); +#6643=ORIENTED_EDGE('',*,*,#4601,.F.); +#6644=ORIENTED_EDGE('',*,*,#4605,.F.); +#6645=ORIENTED_EDGE('',*,*,#4643,.F.); +#6646=ORIENTED_EDGE('',*,*,#4644,.T.); +#6647=ORIENTED_EDGE('',*,*,#4645,.F.); +#6648=ORIENTED_EDGE('',*,*,#4646,.F.); +#6649=ORIENTED_EDGE('',*,*,#4647,.F.); +#6650=ORIENTED_EDGE('',*,*,#4646,.T.); +#6651=ORIENTED_EDGE('',*,*,#4648,.F.); +#6652=ORIENTED_EDGE('',*,*,#4649,.F.); +#6653=ORIENTED_EDGE('',*,*,#4650,.F.); +#6654=ORIENTED_EDGE('',*,*,#4649,.T.); +#6655=ORIENTED_EDGE('',*,*,#4651,.F.); +#6656=ORIENTED_EDGE('',*,*,#4652,.F.); +#6657=ORIENTED_EDGE('',*,*,#4653,.F.); +#6658=ORIENTED_EDGE('',*,*,#4652,.T.); +#6659=ORIENTED_EDGE('',*,*,#4654,.F.); +#6660=ORIENTED_EDGE('',*,*,#4655,.F.); +#6661=ORIENTED_EDGE('',*,*,#4656,.T.); +#6662=ORIENTED_EDGE('',*,*,#4655,.T.); +#6663=ORIENTED_EDGE('',*,*,#4657,.F.); +#6664=ORIENTED_EDGE('',*,*,#4658,.F.); +#6665=ORIENTED_EDGE('',*,*,#4659,.T.); +#6666=ORIENTED_EDGE('',*,*,#4658,.T.); +#6667=ORIENTED_EDGE('',*,*,#4660,.F.); +#6668=ORIENTED_EDGE('',*,*,#4661,.F.); +#6669=ORIENTED_EDGE('',*,*,#4662,.T.); +#6670=ORIENTED_EDGE('',*,*,#4661,.T.); +#6671=ORIENTED_EDGE('',*,*,#4663,.F.); +#6672=ORIENTED_EDGE('',*,*,#4664,.F.); +#6673=ORIENTED_EDGE('',*,*,#4665,.T.); +#6674=ORIENTED_EDGE('',*,*,#4664,.T.); +#6675=ORIENTED_EDGE('',*,*,#4666,.F.); +#6676=ORIENTED_EDGE('',*,*,#4667,.F.); +#6677=ORIENTED_EDGE('',*,*,#4668,.T.); +#6678=ORIENTED_EDGE('',*,*,#4667,.T.); +#6679=ORIENTED_EDGE('',*,*,#4669,.F.); +#6680=ORIENTED_EDGE('',*,*,#4670,.F.); +#6681=ORIENTED_EDGE('',*,*,#4671,.T.); +#6682=ORIENTED_EDGE('',*,*,#4670,.T.); +#6683=ORIENTED_EDGE('',*,*,#4672,.F.); +#6684=ORIENTED_EDGE('',*,*,#4673,.F.); +#6685=ORIENTED_EDGE('',*,*,#4674,.T.); +#6686=ORIENTED_EDGE('',*,*,#4673,.T.); +#6687=ORIENTED_EDGE('',*,*,#4675,.F.); +#6688=ORIENTED_EDGE('',*,*,#4676,.F.); +#6689=ORIENTED_EDGE('',*,*,#4677,.F.); +#6690=ORIENTED_EDGE('',*,*,#4676,.T.); +#6691=ORIENTED_EDGE('',*,*,#4678,.F.); +#6692=ORIENTED_EDGE('',*,*,#4644,.F.); +#6693=ORIENTED_EDGE('',*,*,#4677,.T.); +#6694=ORIENTED_EDGE('',*,*,#4643,.T.); +#6695=ORIENTED_EDGE('',*,*,#4647,.T.); +#6696=ORIENTED_EDGE('',*,*,#4650,.T.); +#6697=ORIENTED_EDGE('',*,*,#4653,.T.); +#6698=ORIENTED_EDGE('',*,*,#4656,.F.); +#6699=ORIENTED_EDGE('',*,*,#4659,.F.); +#6700=ORIENTED_EDGE('',*,*,#4662,.F.); +#6701=ORIENTED_EDGE('',*,*,#4665,.F.); +#6702=ORIENTED_EDGE('',*,*,#4668,.F.); +#6703=ORIENTED_EDGE('',*,*,#4671,.F.); +#6704=ORIENTED_EDGE('',*,*,#4674,.F.); +#6705=ORIENTED_EDGE('',*,*,#4679,.F.); +#6706=ORIENTED_EDGE('',*,*,#4680,.T.); +#6707=ORIENTED_EDGE('',*,*,#4681,.F.); +#6708=ORIENTED_EDGE('',*,*,#4682,.F.); +#6709=ORIENTED_EDGE('',*,*,#4683,.F.); +#6710=ORIENTED_EDGE('',*,*,#4682,.T.); +#6711=ORIENTED_EDGE('',*,*,#4684,.F.); +#6712=ORIENTED_EDGE('',*,*,#4685,.F.); +#6713=ORIENTED_EDGE('',*,*,#4686,.F.); +#6714=ORIENTED_EDGE('',*,*,#4685,.T.); +#6715=ORIENTED_EDGE('',*,*,#4687,.F.); +#6716=ORIENTED_EDGE('',*,*,#4688,.F.); +#6717=ORIENTED_EDGE('',*,*,#4689,.F.); +#6718=ORIENTED_EDGE('',*,*,#4688,.T.); +#6719=ORIENTED_EDGE('',*,*,#4690,.F.); +#6720=ORIENTED_EDGE('',*,*,#4691,.F.); +#6721=ORIENTED_EDGE('',*,*,#4692,.F.); +#6722=ORIENTED_EDGE('',*,*,#4691,.T.); +#6723=ORIENTED_EDGE('',*,*,#4693,.F.); +#6724=ORIENTED_EDGE('',*,*,#4694,.F.); +#6725=ORIENTED_EDGE('',*,*,#4695,.F.); +#6726=ORIENTED_EDGE('',*,*,#4694,.T.); +#6727=ORIENTED_EDGE('',*,*,#4696,.F.); +#6728=ORIENTED_EDGE('',*,*,#4697,.F.); +#6729=ORIENTED_EDGE('',*,*,#4698,.T.); +#6730=ORIENTED_EDGE('',*,*,#4697,.T.); +#6731=ORIENTED_EDGE('',*,*,#4699,.F.); +#6732=ORIENTED_EDGE('',*,*,#4700,.F.); +#6733=ORIENTED_EDGE('',*,*,#4701,.T.); +#6734=ORIENTED_EDGE('',*,*,#4700,.T.); +#6735=ORIENTED_EDGE('',*,*,#4702,.F.); +#6736=ORIENTED_EDGE('',*,*,#4703,.F.); +#6737=ORIENTED_EDGE('',*,*,#4704,.T.); +#6738=ORIENTED_EDGE('',*,*,#4703,.T.); +#6739=ORIENTED_EDGE('',*,*,#4705,.F.); +#6740=ORIENTED_EDGE('',*,*,#4706,.F.); +#6741=ORIENTED_EDGE('',*,*,#4707,.T.); +#6742=ORIENTED_EDGE('',*,*,#4706,.T.); +#6743=ORIENTED_EDGE('',*,*,#4708,.F.); +#6744=ORIENTED_EDGE('',*,*,#4709,.F.); +#6745=ORIENTED_EDGE('',*,*,#4710,.T.); +#6746=ORIENTED_EDGE('',*,*,#4709,.T.); +#6747=ORIENTED_EDGE('',*,*,#4711,.F.); +#6748=ORIENTED_EDGE('',*,*,#4712,.F.); +#6749=ORIENTED_EDGE('',*,*,#4713,.F.); +#6750=ORIENTED_EDGE('',*,*,#4712,.T.); +#6751=ORIENTED_EDGE('',*,*,#4714,.F.); +#6752=ORIENTED_EDGE('',*,*,#4680,.F.); +#6753=ORIENTED_EDGE('',*,*,#4713,.T.); +#6754=ORIENTED_EDGE('',*,*,#4679,.T.); +#6755=ORIENTED_EDGE('',*,*,#4683,.T.); +#6756=ORIENTED_EDGE('',*,*,#4686,.T.); +#6757=ORIENTED_EDGE('',*,*,#4689,.T.); +#6758=ORIENTED_EDGE('',*,*,#4692,.T.); +#6759=ORIENTED_EDGE('',*,*,#4695,.T.); +#6760=ORIENTED_EDGE('',*,*,#4698,.F.); +#6761=ORIENTED_EDGE('',*,*,#4701,.F.); +#6762=ORIENTED_EDGE('',*,*,#4704,.F.); +#6763=ORIENTED_EDGE('',*,*,#4707,.F.); +#6764=ORIENTED_EDGE('',*,*,#4710,.F.); +#6765=ORIENTED_EDGE('',*,*,#4715,.T.); +#6766=ORIENTED_EDGE('',*,*,#4716,.F.); +#6767=ORIENTED_EDGE('',*,*,#4717,.F.); +#6768=ORIENTED_EDGE('',*,*,#4718,.T.); +#6769=ORIENTED_EDGE('',*,*,#4719,.T.); +#6770=ORIENTED_EDGE('',*,*,#4720,.T.); +#6771=ORIENTED_EDGE('',*,*,#4715,.F.); +#6772=ORIENTED_EDGE('',*,*,#4721,.T.); +#6773=ORIENTED_EDGE('',*,*,#4722,.T.); +#6774=ORIENTED_EDGE('',*,*,#4723,.T.); +#6775=ORIENTED_EDGE('',*,*,#4724,.F.); +#6776=ORIENTED_EDGE('',*,*,#4719,.F.); +#6777=ORIENTED_EDGE('',*,*,#4725,.F.); +#6778=ORIENTED_EDGE('',*,*,#4726,.F.); +#6779=ORIENTED_EDGE('',*,*,#4727,.T.); +#6780=ORIENTED_EDGE('',*,*,#4728,.T.); +#6781=ORIENTED_EDGE('',*,*,#4722,.F.); +#6782=ORIENTED_EDGE('',*,*,#4729,.F.); +#6783=ORIENTED_EDGE('',*,*,#4727,.F.); +#6784=ORIENTED_EDGE('',*,*,#4730,.F.); +#6785=ORIENTED_EDGE('',*,*,#4645,.T.); +#6786=ORIENTED_EDGE('',*,*,#4678,.T.); +#6787=ORIENTED_EDGE('',*,*,#4675,.T.); +#6788=ORIENTED_EDGE('',*,*,#4672,.T.); +#6789=ORIENTED_EDGE('',*,*,#4669,.T.); +#6790=ORIENTED_EDGE('',*,*,#4666,.T.); +#6791=ORIENTED_EDGE('',*,*,#4663,.T.); +#6792=ORIENTED_EDGE('',*,*,#4660,.T.); +#6793=ORIENTED_EDGE('',*,*,#4657,.T.); +#6794=ORIENTED_EDGE('',*,*,#4654,.T.); +#6795=ORIENTED_EDGE('',*,*,#4651,.T.); +#6796=ORIENTED_EDGE('',*,*,#4648,.T.); +#6797=ORIENTED_EDGE('',*,*,#4681,.T.); +#6798=ORIENTED_EDGE('',*,*,#4714,.T.); +#6799=ORIENTED_EDGE('',*,*,#4711,.T.); +#6800=ORIENTED_EDGE('',*,*,#4708,.T.); +#6801=ORIENTED_EDGE('',*,*,#4705,.T.); +#6802=ORIENTED_EDGE('',*,*,#4702,.T.); +#6803=ORIENTED_EDGE('',*,*,#4699,.T.); +#6804=ORIENTED_EDGE('',*,*,#4696,.T.); +#6805=ORIENTED_EDGE('',*,*,#4693,.T.); +#6806=ORIENTED_EDGE('',*,*,#4690,.T.); +#6807=ORIENTED_EDGE('',*,*,#4687,.T.); +#6808=ORIENTED_EDGE('',*,*,#4684,.T.); +#6809=ORIENTED_EDGE('',*,*,#4731,.F.); +#6810=ORIENTED_EDGE('',*,*,#4732,.F.); +#6811=ORIENTED_EDGE('',*,*,#4733,.T.); +#6812=ORIENTED_EDGE('',*,*,#4734,.T.); +#6813=ORIENTED_EDGE('',*,*,#4730,.T.); +#6814=ORIENTED_EDGE('',*,*,#4726,.T.); +#6815=ORIENTED_EDGE('',*,*,#4735,.F.); +#6816=ORIENTED_EDGE('',*,*,#4733,.F.); +#6817=ORIENTED_EDGE('',*,*,#4736,.T.); +#6818=ORIENTED_EDGE('',*,*,#4723,.F.); +#6819=ORIENTED_EDGE('',*,*,#4725,.T.); +#6820=ORIENTED_EDGE('',*,*,#4718,.F.); +#6821=ORIENTED_EDGE('',*,*,#4737,.F.); +#6822=ORIENTED_EDGE('',*,*,#4734,.F.); +#6823=ORIENTED_EDGE('',*,*,#4735,.T.); +#6824=ORIENTED_EDGE('',*,*,#4716,.T.); +#6825=ORIENTED_EDGE('',*,*,#4724,.T.); +#6826=ORIENTED_EDGE('',*,*,#4736,.F.); +#6827=ORIENTED_EDGE('',*,*,#4732,.T.); +#6828=ORIENTED_EDGE('',*,*,#4738,.F.); +#6829=ORIENTED_EDGE('',*,*,#4721,.F.); +#6830=ORIENTED_EDGE('',*,*,#4720,.F.); +#6831=ORIENTED_EDGE('',*,*,#4728,.F.); +#6832=ORIENTED_EDGE('',*,*,#4729,.T.); +#6833=ORIENTED_EDGE('',*,*,#4739,.T.); +#6834=ORIENTED_EDGE('',*,*,#4740,.T.); +#6835=ORIENTED_EDGE('',*,*,#4741,.T.); +#6836=ORIENTED_EDGE('',*,*,#4742,.T.); +#6837=ORIENTED_EDGE('',*,*,#4743,.T.); +#6838=ORIENTED_EDGE('',*,*,#4743,.F.); +#6839=ORIENTED_EDGE('',*,*,#4744,.T.); +#6840=ORIENTED_EDGE('',*,*,#4745,.T.); +#6841=ORIENTED_EDGE('',*,*,#4746,.T.); +#6842=ORIENTED_EDGE('',*,*,#4747,.T.); +#6843=ORIENTED_EDGE('',*,*,#4742,.F.); +#6844=ORIENTED_EDGE('',*,*,#4748,.F.); +#6845=ORIENTED_EDGE('',*,*,#4749,.F.); +#6846=ORIENTED_EDGE('',*,*,#4750,.F.); +#6847=ORIENTED_EDGE('',*,*,#4751,.F.); +#6848=ORIENTED_EDGE('',*,*,#4744,.F.); +#6849=ORIENTED_EDGE('',*,*,#4741,.F.); +#6850=ORIENTED_EDGE('',*,*,#4752,.T.); +#6851=ORIENTED_EDGE('',*,*,#4753,.F.); +#6852=ORIENTED_EDGE('',*,*,#4754,.T.); +#6853=ORIENTED_EDGE('',*,*,#4755,.F.); +#6854=ORIENTED_EDGE('',*,*,#4756,.T.); +#6855=ORIENTED_EDGE('',*,*,#4757,.F.); +#6856=ORIENTED_EDGE('',*,*,#4748,.T.); +#6857=ORIENTED_EDGE('',*,*,#4731,.T.); +#6858=ORIENTED_EDGE('',*,*,#4737,.T.); +#6859=ORIENTED_EDGE('',*,*,#4717,.T.); +#6860=ORIENTED_EDGE('',*,*,#4738,.T.); +#6861=ORIENTED_EDGE('',*,*,#4758,.T.); +#6862=ORIENTED_EDGE('',*,*,#4749,.T.); +#6863=ORIENTED_EDGE('',*,*,#4757,.T.); +#6864=ORIENTED_EDGE('',*,*,#4759,.T.); +#6865=ORIENTED_EDGE('',*,*,#4760,.T.); +#6866=ORIENTED_EDGE('',*,*,#4758,.F.); +#6867=ORIENTED_EDGE('',*,*,#4761,.T.); +#6868=ORIENTED_EDGE('',*,*,#4762,.T.); +#6869=ORIENTED_EDGE('',*,*,#4763,.T.); +#6870=ORIENTED_EDGE('',*,*,#4750,.T.); +#6871=ORIENTED_EDGE('',*,*,#4755,.T.); +#6872=ORIENTED_EDGE('',*,*,#4764,.T.); +#6873=ORIENTED_EDGE('',*,*,#4765,.T.); +#6874=ORIENTED_EDGE('',*,*,#4766,.T.); +#6875=ORIENTED_EDGE('',*,*,#4767,.T.); +#6876=ORIENTED_EDGE('',*,*,#4768,.T.); +#6877=ORIENTED_EDGE('',*,*,#4769,.T.); +#6878=ORIENTED_EDGE('',*,*,#4770,.T.); +#6879=ORIENTED_EDGE('',*,*,#4771,.T.); +#6880=ORIENTED_EDGE('',*,*,#4766,.F.); +#6881=ORIENTED_EDGE('',*,*,#4764,.F.); +#6882=ORIENTED_EDGE('',*,*,#4754,.F.); +#6883=ORIENTED_EDGE('',*,*,#4772,.F.); +#6884=ORIENTED_EDGE('',*,*,#4773,.F.); +#6885=ORIENTED_EDGE('',*,*,#4759,.F.); +#6886=ORIENTED_EDGE('',*,*,#4756,.F.); +#6887=ORIENTED_EDGE('',*,*,#4768,.F.); +#6888=ORIENTED_EDGE('',*,*,#4774,.F.); +#6889=ORIENTED_EDGE('',*,*,#4753,.T.); +#6890=ORIENTED_EDGE('',*,*,#4775,.T.); +#6891=ORIENTED_EDGE('',*,*,#4776,.T.); +#6892=ORIENTED_EDGE('',*,*,#4777,.T.); +#6893=ORIENTED_EDGE('',*,*,#4778,.T.); +#6894=ORIENTED_EDGE('',*,*,#4772,.T.); +#6895=ORIENTED_EDGE('',*,*,#4779,.T.); +#6896=ORIENTED_EDGE('',*,*,#4780,.T.); +#6897=ORIENTED_EDGE('',*,*,#4781,.T.); +#6898=ORIENTED_EDGE('',*,*,#4777,.F.); +#6899=ORIENTED_EDGE('',*,*,#4765,.F.); +#6900=ORIENTED_EDGE('',*,*,#4773,.T.); +#6901=ORIENTED_EDGE('',*,*,#4778,.F.); +#6902=ORIENTED_EDGE('',*,*,#4781,.F.); +#6903=ORIENTED_EDGE('',*,*,#4782,.F.); +#6904=ORIENTED_EDGE('',*,*,#4769,.F.); +#6905=ORIENTED_EDGE('',*,*,#4770,.F.); +#6906=ORIENTED_EDGE('',*,*,#4782,.T.); +#6907=ORIENTED_EDGE('',*,*,#4780,.F.); +#6908=ORIENTED_EDGE('',*,*,#4783,.T.); +#6909=ORIENTED_EDGE('',*,*,#4746,.F.); +#6910=ORIENTED_EDGE('',*,*,#4784,.T.); +#6911=ORIENTED_EDGE('',*,*,#4762,.F.); +#6912=ORIENTED_EDGE('',*,*,#4785,.T.); +#6913=ORIENTED_EDGE('',*,*,#4763,.F.); +#6914=ORIENTED_EDGE('',*,*,#4784,.F.); +#6915=ORIENTED_EDGE('',*,*,#4745,.F.); +#6916=ORIENTED_EDGE('',*,*,#4751,.T.); +#6917=ORIENTED_EDGE('',*,*,#4740,.F.); +#6918=ORIENTED_EDGE('',*,*,#4786,.F.); +#6919=ORIENTED_EDGE('',*,*,#4775,.F.); +#6920=ORIENTED_EDGE('',*,*,#4752,.F.); +#6921=ORIENTED_EDGE('',*,*,#4739,.F.); +#6922=ORIENTED_EDGE('',*,*,#4747,.F.); +#6923=ORIENTED_EDGE('',*,*,#4783,.F.); +#6924=ORIENTED_EDGE('',*,*,#4779,.F.); +#6925=ORIENTED_EDGE('',*,*,#4776,.F.); +#6926=ORIENTED_EDGE('',*,*,#4786,.T.); +#6927=ORIENTED_EDGE('',*,*,#4760,.F.); +#6928=ORIENTED_EDGE('',*,*,#4774,.T.); +#6929=ORIENTED_EDGE('',*,*,#4767,.F.); +#6930=ORIENTED_EDGE('',*,*,#4771,.F.); +#6931=ORIENTED_EDGE('',*,*,#4785,.F.); +#6932=ORIENTED_EDGE('',*,*,#4761,.F.); +#6933=PLANE('',#7775); +#6934=PLANE('',#7776); +#6935=PLANE('',#7777); +#6936=PLANE('',#7778); +#6937=PLANE('',#7779); +#6938=PLANE('',#7780); +#6939=PLANE('',#7781); +#6940=PLANE('',#7782); +#6941=PLANE('',#7783); +#6942=PLANE('',#7787); +#6943=PLANE('',#7791); +#6944=PLANE('',#7795); +#6945=PLANE('',#7799); +#6946=PLANE('',#7800); +#6947=PLANE('',#7801); +#6948=PLANE('',#7802); +#6949=PLANE('',#7803); +#6950=PLANE('',#7804); +#6951=PLANE('',#7805); +#6952=PLANE('',#7806); +#6953=PLANE('',#7807); +#6954=PLANE('',#7808); +#6955=PLANE('',#7809); +#6956=PLANE('',#7810); +#6957=PLANE('',#7811); +#6958=PLANE('',#7812); +#6959=PLANE('',#7813); +#6960=PLANE('',#7814); +#6961=PLANE('',#7815); +#6962=PLANE('',#7816); +#6963=PLANE('',#7817); +#6964=PLANE('',#7818); +#6965=PLANE('',#7819); +#6966=PLANE('',#7820); +#6967=PLANE('',#7821); +#6968=PLANE('',#7822); +#6969=PLANE('',#7823); +#6970=PLANE('',#7824); +#6971=PLANE('',#7825); +#6972=PLANE('',#7826); +#6973=PLANE('',#7827); +#6974=PLANE('',#7828); +#6975=PLANE('',#7840); +#6976=PLANE('',#7841); +#6977=PLANE('',#7854); +#6978=PLANE('',#7855); +#6979=PLANE('',#7856); +#6980=PLANE('',#7857); +#6981=PLANE('',#7858); +#6982=PLANE('',#7859); +#6983=PLANE('',#7860); +#6984=PLANE('',#7861); +#6985=PLANE('',#7862); +#6986=PLANE('',#7863); +#6987=PLANE('',#7864); +#6988=PLANE('',#7865); +#6989=PLANE('',#7866); +#6990=PLANE('',#7867); +#6991=PLANE('',#7868); +#6992=PLANE('',#7869); +#6993=PLANE('',#7870); +#6994=PLANE('',#7871); +#6995=PLANE('',#7872); +#6996=PLANE('',#7873); +#6997=PLANE('',#7874); +#6998=PLANE('',#7875); +#6999=PLANE('',#7876); +#7000=PLANE('',#7877); +#7001=PLANE('',#7878); +#7002=PLANE('',#7879); +#7003=PLANE('',#7880); +#7004=PLANE('',#7881); +#7005=PLANE('',#7882); +#7006=PLANE('',#7883); +#7007=PLANE('',#7884); +#7008=PLANE('',#7885); +#7009=PLANE('',#7886); +#7010=PLANE('',#7887); +#7011=PLANE('',#7888); +#7012=PLANE('',#7889); +#7013=PLANE('',#7890); +#7014=PLANE('',#7891); +#7015=PLANE('',#7892); +#7016=PLANE('',#7893); +#7017=PLANE('',#7894); +#7018=PLANE('',#7895); +#7019=PLANE('',#7896); +#7020=PLANE('',#7897); +#7021=PLANE('',#7910); +#7022=PLANE('',#7913); +#7023=PLANE('',#7916); +#7024=PLANE('',#7919); +#7025=PLANE('',#7924); +#7026=PLANE('',#7931); +#7027=PLANE('',#7944); +#7028=PLANE('',#7946); +#7029=PLANE('',#7948); +#7030=PLANE('',#7950); +#7031=PLANE('',#7952); +#7032=PLANE('',#7955); +#7033=PLANE('',#7956); +#7034=PLANE('',#7957); +#7035=PLANE('',#7958); +#7036=PLANE('',#7959); +#7037=PLANE('',#7960); +#7038=PLANE('',#7961); +#7039=PLANE('',#7962); +#7040=PLANE('',#7963); +#7041=PLANE('',#7964); +#7042=PLANE('',#7965); +#7043=PLANE('',#7966); +#7044=PLANE('',#7967); +#7045=PLANE('',#7968); +#7046=PLANE('',#7969); +#7047=PLANE('',#7970); +#7048=PLANE('',#7971); +#7049=PLANE('',#7972); +#7050=PLANE('',#7973); +#7051=PLANE('',#7974); +#7052=PLANE('',#7975); +#7053=PLANE('',#7976); +#7054=PLANE('',#7977); +#7055=PLANE('',#7978); +#7056=PLANE('',#7979); +#7057=PLANE('',#7980); +#7058=PLANE('',#7981); +#7059=PLANE('',#7982); +#7060=PLANE('',#7983); +#7061=PLANE('',#7984); +#7062=PLANE('',#7985); +#7063=PLANE('',#7986); +#7064=PLANE('',#7987); +#7065=PLANE('',#7988); +#7066=PLANE('',#7989); +#7067=PLANE('',#7990); +#7068=PLANE('',#7991); +#7069=PLANE('',#7992); +#7070=PLANE('',#7993); +#7071=PLANE('',#7994); +#7072=PLANE('',#7995); +#7073=PLANE('',#7996); +#7074=PLANE('',#7997); +#7075=PLANE('',#7998); +#7076=PLANE('',#7999); +#7077=PLANE('',#8000); +#7078=PLANE('',#8001); +#7079=PLANE('',#8002); +#7080=PLANE('',#8003); +#7081=PLANE('',#8004); +#7082=PLANE('',#8005); +#7083=PLANE('',#8006); +#7084=PLANE('',#8007); +#7085=PLANE('',#8008); +#7086=PLANE('',#8009); +#7087=PLANE('',#8010); +#7088=PLANE('',#8011); +#7089=PLANE('',#8012); +#7090=PLANE('',#8013); +#7091=PLANE('',#8014); +#7092=PLANE('',#8015); +#7093=PLANE('',#8016); +#7094=PLANE('',#8017); +#7095=PLANE('',#8018); +#7096=PLANE('',#8019); +#7097=PLANE('',#8020); +#7098=PLANE('',#8021); +#7099=PLANE('',#8022); +#7100=PLANE('',#8023); +#7101=PLANE('',#8024); +#7102=PLANE('',#8025); +#7103=PLANE('',#8026); +#7104=PLANE('',#8027); +#7105=PLANE('',#8028); +#7106=PLANE('',#8029); +#7107=PLANE('',#8030); +#7108=PLANE('',#8031); +#7109=PLANE('',#8032); +#7110=PLANE('',#8033); +#7111=PLANE('',#8034); +#7112=PLANE('',#8035); +#7113=PLANE('',#8036); +#7114=PLANE('',#8037); +#7115=PLANE('',#8038); +#7116=PLANE('',#8039); +#7117=PLANE('',#8040); +#7118=PLANE('',#8041); +#7119=PLANE('',#8042); +#7120=PLANE('',#8043); +#7121=PLANE('',#8044); +#7122=PLANE('',#8045); +#7123=PLANE('',#8046); +#7124=PLANE('',#8047); +#7125=PLANE('',#8048); +#7126=PLANE('',#8049); +#7127=PLANE('',#8050); +#7128=PLANE('',#8051); +#7129=PLANE('',#8052); +#7130=PLANE('',#8053); +#7131=PLANE('',#8054); +#7132=PLANE('',#8055); +#7133=PLANE('',#8056); +#7134=PLANE('',#8057); +#7135=PLANE('',#8058); +#7136=PLANE('',#8059); +#7137=PLANE('',#8060); +#7138=PLANE('',#8061); +#7139=PLANE('',#8062); +#7140=PLANE('',#8063); +#7141=PLANE('',#8064); +#7142=PLANE('',#8065); +#7143=PLANE('',#8066); +#7144=PLANE('',#8067); +#7145=PLANE('',#8068); +#7146=PLANE('',#8069); +#7147=PLANE('',#8070); +#7148=PLANE('',#8071); +#7149=PLANE('',#8072); +#7150=PLANE('',#8073); +#7151=PLANE('',#8074); +#7152=PLANE('',#8075); +#7153=PLANE('',#8076); +#7154=PLANE('',#8077); +#7155=PLANE('',#8078); +#7156=PLANE('',#8079); +#7157=PLANE('',#8080); +#7158=PLANE('',#8081); +#7159=PLANE('',#8082); +#7160=PLANE('',#8083); +#7161=PLANE('',#8084); +#7162=PLANE('',#8085); +#7163=PLANE('',#8086); +#7164=PLANE('',#8087); +#7165=PLANE('',#8088); +#7166=PLANE('',#8089); +#7167=PLANE('',#8090); +#7168=PLANE('',#8091); +#7169=PLANE('',#8092); +#7170=PLANE('',#8093); +#7171=PLANE('',#8094); +#7172=PLANE('',#8095); +#7173=PLANE('',#8096); +#7174=PLANE('',#8097); +#7175=PLANE('',#8098); +#7176=PLANE('',#8099); +#7177=PLANE('',#8100); +#7178=PLANE('',#8101); +#7179=PLANE('',#8102); +#7180=PLANE('',#8103); +#7181=PLANE('',#8104); +#7182=PLANE('',#8108); +#7183=PLANE('',#8112); +#7184=PLANE('',#8116); +#7185=PLANE('',#8120); +#7186=PLANE('',#8124); +#7187=PLANE('',#8128); +#7188=PLANE('',#8135); +#7189=PLANE('',#8139); +#7190=PLANE('',#8143); +#7191=PLANE('',#8147); +#7192=PLANE('',#8151); +#7193=PLANE('',#8156); +#7194=PLANE('',#8157); +#7195=PLANE('',#8158); +#7196=PLANE('',#8161); +#7197=PLANE('',#8164); +#7198=PLANE('',#8167); +#7199=PLANE('',#8168); +#7200=PLANE('',#8172); +#7201=PLANE('',#8176); +#7202=PLANE('',#8180); +#7203=PLANE('',#8181); +#7204=PLANE('',#8182); +#7205=PLANE('',#8183); +#7206=PLANE('',#8184); +#7207=PLANE('',#8185); +#7208=PLANE('',#8186); +#7209=PLANE('',#8190); +#7210=PLANE('',#8194); +#7211=PLANE('',#8198); +#7212=PLANE('',#8199); +#7213=PLANE('',#8202); +#7214=PLANE('',#8203); +#7215=PLANE('',#8204); +#7216=PLANE('',#8205); +#7217=PLANE('',#8206); +#7218=PLANE('',#8207); +#7219=PLANE('',#8208); +#7220=PLANE('',#8209); +#7221=PLANE('',#8210); +#7222=PLANE('',#8211); +#7223=PLANE('',#8212); +#7224=PLANE('',#8213); +#7225=PLANE('',#8214); +#7226=PLANE('',#8215); +#7227=PLANE('',#8221); +#7228=PLANE('',#8222); +#7229=PLANE('',#8226); +#7230=PLANE('',#8227); +#7231=PLANE('',#8231); +#7232=PLANE('',#8232); +#7233=PLANE('',#8233); +#7234=PLANE('',#8234); +#7235=PLANE('',#8235); +#7236=PLANE('',#8236); +#7237=PLANE('',#8237); +#7238=PLANE('',#8238); +#7239=PLANE('',#8242); +#7240=PLANE('',#8246); +#7241=PLANE('',#8250); +#7242=PLANE('',#8254); +#7243=PLANE('',#8255); +#7244=PLANE('',#8257); +#7245=PLANE('',#8258); +#7246=PLANE('',#8259); +#7247=PLANE('',#8260); +#7248=PLANE('',#8261); +#7249=PLANE('',#8262); +#7250=PLANE('',#8263); +#7251=PLANE('',#8264); +#7252=PLANE('',#8265); +#7253=PLANE('',#8266); +#7254=PLANE('',#8267); +#7255=PLANE('',#8268); +#7256=PLANE('',#8269); +#7257=PLANE('',#8270); +#7258=PLANE('',#8271); +#7259=PLANE('',#8272); +#7260=PLANE('',#8273); +#7261=PLANE('',#8274); +#7262=PLANE('',#8275); +#7263=PLANE('',#8276); +#7264=PLANE('',#8277); +#7265=PLANE('',#8278); +#7266=PLANE('',#8279); +#7267=PLANE('',#8280); +#7268=PLANE('',#8281); +#7269=PLANE('',#8282); +#7270=PLANE('',#8283); +#7271=PLANE('',#8284); +#7272=PLANE('',#8285); +#7273=PLANE('',#8286); +#7274=PLANE('',#8287); +#7275=PLANE('',#8288); +#7276=PLANE('',#8289); +#7277=PLANE('',#8290); +#7278=PLANE('',#8291); +#7279=PLANE('',#8298); +#7280=PLANE('',#8300); +#7281=PLANE('',#8313); +#7282=PLANE('',#8314); +#7283=PLANE('',#8320); +#7284=PLANE('',#8321); +#7285=PLANE('',#8322); +#7286=PLANE('',#8323); +#7287=PLANE('',#8324); +#7288=PLANE('',#8325); +#7289=ADVANCED_FACE('',(#332),#6933,.F.); +#7290=ADVANCED_FACE('',(#333),#6934,.F.); +#7291=ADVANCED_FACE('',(#334),#6935,.F.); +#7292=ADVANCED_FACE('',(#335),#6936,.F.); +#7293=ADVANCED_FACE('',(#336),#6937,.F.); +#7294=ADVANCED_FACE('',(#337),#6938,.F.); +#7295=ADVANCED_FACE('',(#338),#6939,.F.); +#7296=ADVANCED_FACE('',(#339),#6940,.F.); +#7297=ADVANCED_FACE('',(#340),#6941,.T.); +#7298=ADVANCED_FACE('',(#341),#286,.T.); +#7299=ADVANCED_FACE('',(#342),#6942,.T.); +#7300=ADVANCED_FACE('',(#343),#287,.T.); +#7301=ADVANCED_FACE('',(#344),#6943,.T.); +#7302=ADVANCED_FACE('',(#345),#288,.T.); +#7303=ADVANCED_FACE('',(#346),#6944,.T.); +#7304=ADVANCED_FACE('',(#347),#289,.T.); +#7305=ADVANCED_FACE('',(#348),#6945,.T.); +#7306=ADVANCED_FACE('',(#349),#6946,.T.); +#7307=ADVANCED_FACE('',(#350),#6947,.T.); +#7308=ADVANCED_FACE('',(#351),#151,.T.); +#7309=ADVANCED_FACE('',(#352),#6948,.T.); +#7310=ADVANCED_FACE('',(#353),#6949,.T.); +#7311=ADVANCED_FACE('',(#354),#152,.T.); +#7312=ADVANCED_FACE('',(#355),#6950,.T.); +#7313=ADVANCED_FACE('',(#356),#6951,.T.); +#7314=ADVANCED_FACE('',(#357),#6952,.T.); +#7315=ADVANCED_FACE('',(#358),#6953,.T.); +#7316=ADVANCED_FACE('',(#359),#6954,.T.); +#7317=ADVANCED_FACE('',(#360),#6955,.T.); +#7318=ADVANCED_FACE('',(#361),#153,.T.); +#7319=ADVANCED_FACE('',(#362),#6956,.T.); +#7320=ADVANCED_FACE('',(#363),#6957,.T.); +#7321=ADVANCED_FACE('',(#364),#154,.T.); +#7322=ADVANCED_FACE('',(#365),#6958,.T.); +#7323=ADVANCED_FACE('',(#366),#6959,.T.); +#7324=ADVANCED_FACE('',(#367),#155,.T.); +#7325=ADVANCED_FACE('',(#368),#6960,.T.); +#7326=ADVANCED_FACE('',(#369),#156,.T.); +#7327=ADVANCED_FACE('',(#370),#6961,.T.); +#7328=ADVANCED_FACE('',(#371),#6962,.T.); +#7329=ADVANCED_FACE('',(#372),#6963,.T.); +#7330=ADVANCED_FACE('',(#373),#6964,.T.); +#7331=ADVANCED_FACE('',(#374),#6965,.T.); +#7332=ADVANCED_FACE('',(#375),#6966,.T.); +#7333=ADVANCED_FACE('',(#376),#6967,.T.); +#7334=ADVANCED_FACE('',(#377),#6968,.T.); +#7335=ADVANCED_FACE('',(#378),#6969,.T.); +#7336=ADVANCED_FACE('',(#379),#6970,.T.); +#7337=ADVANCED_FACE('',(#380),#6971,.T.); +#7338=ADVANCED_FACE('',(#381,#121),#6972,.T.); +#7339=ADVANCED_FACE('',(#382),#6973,.T.); +#7340=ADVANCED_FACE('',(#383),#6974,.T.); +#7341=ADVANCED_FACE('',(#384),#6975,.T.); +#7342=ADVANCED_FACE('',(#385),#6976,.T.); +#7343=ADVANCED_FACE('',(#386),#6977,.T.); +#7344=ADVANCED_FACE('',(#387),#6978,.T.); +#7345=ADVANCED_FACE('',(#388),#6979,.T.); +#7346=ADVANCED_FACE('',(#389),#6980,.T.); +#7347=ADVANCED_FACE('',(#390),#6981,.T.); +#7348=ADVANCED_FACE('',(#391),#6982,.T.); +#7349=ADVANCED_FACE('',(#392),#6983,.T.); +#7350=ADVANCED_FACE('',(#393),#6984,.T.); +#7351=ADVANCED_FACE('',(#394),#6985,.T.); +#7352=ADVANCED_FACE('',(#395),#6986,.T.); +#7353=ADVANCED_FACE('',(#396),#6987,.T.); +#7354=ADVANCED_FACE('',(#397),#6988,.T.); +#7355=ADVANCED_FACE('',(#398),#6989,.T.); +#7356=ADVANCED_FACE('',(#399),#6990,.T.); +#7357=ADVANCED_FACE('',(#400),#6991,.T.); +#7358=ADVANCED_FACE('',(#401),#6992,.T.); +#7359=ADVANCED_FACE('',(#402),#6993,.T.); +#7360=ADVANCED_FACE('',(#403),#6994,.T.); +#7361=ADVANCED_FACE('',(#404),#6995,.T.); +#7362=ADVANCED_FACE('',(#405),#6996,.T.); +#7363=ADVANCED_FACE('',(#406),#6997,.T.); +#7364=ADVANCED_FACE('',(#407),#6998,.T.); +#7365=ADVANCED_FACE('',(#408),#6999,.T.); +#7366=ADVANCED_FACE('',(#409),#7000,.T.); +#7367=ADVANCED_FACE('',(#410),#7001,.T.); +#7368=ADVANCED_FACE('',(#411),#7002,.T.); +#7369=ADVANCED_FACE('',(#412),#7003,.T.); +#7370=ADVANCED_FACE('',(#413),#7004,.T.); +#7371=ADVANCED_FACE('',(#414),#7005,.T.); +#7372=ADVANCED_FACE('',(#415),#7006,.T.); +#7373=ADVANCED_FACE('',(#416),#7007,.T.); +#7374=ADVANCED_FACE('',(#417),#7008,.T.); +#7375=ADVANCED_FACE('',(#418),#7009,.T.); +#7376=ADVANCED_FACE('',(#419),#7010,.T.); +#7377=ADVANCED_FACE('',(#420),#7011,.T.); +#7378=ADVANCED_FACE('',(#421),#7012,.T.); +#7379=ADVANCED_FACE('',(#422),#7013,.T.); +#7380=ADVANCED_FACE('',(#423),#7014,.T.); +#7381=ADVANCED_FACE('',(#424),#7015,.T.); +#7382=ADVANCED_FACE('',(#425),#7016,.T.); +#7383=ADVANCED_FACE('',(#426),#7017,.T.); +#7384=ADVANCED_FACE('',(#427),#7018,.T.); +#7385=ADVANCED_FACE('',(#428),#7019,.T.); +#7386=ADVANCED_FACE('',(#429),#7020,.T.); +#7387=ADVANCED_FACE('',(#430),#63,.F.); +#7388=ADVANCED_FACE('',(#431),#64,.F.); +#7389=ADVANCED_FACE('',(#432),#65,.F.); +#7390=ADVANCED_FACE('',(#433),#66,.F.); +#7391=ADVANCED_FACE('',(#434),#67,.F.); +#7392=ADVANCED_FACE('',(#435),#68,.T.); +#7393=ADVANCED_FACE('',(#436),#7021,.T.); +#7394=ADVANCED_FACE('',(#437),#69,.T.); +#7395=ADVANCED_FACE('',(#438),#7022,.T.); +#7396=ADVANCED_FACE('',(#439),#70,.T.); +#7397=ADVANCED_FACE('',(#440),#7023,.T.); +#7398=ADVANCED_FACE('',(#441),#71,.T.); +#7399=ADVANCED_FACE('',(#442),#7024,.T.); +#7400=ADVANCED_FACE('',(#443),#72,.F.); +#7401=ADVANCED_FACE('',(#444),#73,.F.); +#7402=ADVANCED_FACE('',(#445),#7025,.T.); +#7403=ADVANCED_FACE('',(#446),#74,.F.); +#7404=ADVANCED_FACE('',(#447),#75,.F.); +#7405=ADVANCED_FACE('',(#448),#76,.F.); +#7406=ADVANCED_FACE('',(#449,#122,#123,#124,#125,#126,#127,#128,#129,#130, +#131,#132,#133,#134,#135,#136),#7026,.F.); +#7407=ADVANCED_FACE('',(#450),#77,.F.); +#7408=ADVANCED_FACE('',(#451),#78,.F.); +#7409=ADVANCED_FACE('',(#452),#79,.F.); +#7410=ADVANCED_FACE('',(#453),#7027,.T.); +#7411=ADVANCED_FACE('',(#454),#80,.T.); +#7412=ADVANCED_FACE('',(#455),#7028,.T.); +#7413=ADVANCED_FACE('',(#456),#81,.T.); +#7414=ADVANCED_FACE('',(#457),#7029,.T.); +#7415=ADVANCED_FACE('',(#458),#82,.T.); +#7416=ADVANCED_FACE('',(#459),#7030,.T.); +#7417=ADVANCED_FACE('',(#460),#83,.T.); +#7418=ADVANCED_FACE('',(#461),#7031,.T.); +#7419=ADVANCED_FACE('',(#462),#84,.F.); +#7420=ADVANCED_FACE('',(#463),#85,.F.); +#7421=ADVANCED_FACE('',(#464),#7032,.T.); +#7422=ADVANCED_FACE('',(#465),#7033,.T.); +#7423=ADVANCED_FACE('',(#466),#7034,.T.); +#7424=ADVANCED_FACE('',(#467),#7035,.T.); +#7425=ADVANCED_FACE('',(#468),#7036,.T.); +#7426=ADVANCED_FACE('',(#469),#7037,.F.); +#7427=ADVANCED_FACE('',(#470),#7038,.F.); +#7428=ADVANCED_FACE('',(#471),#7039,.T.); +#7429=ADVANCED_FACE('',(#472),#7040,.T.); +#7430=ADVANCED_FACE('',(#473),#7041,.T.); +#7431=ADVANCED_FACE('',(#474),#7042,.T.); +#7432=ADVANCED_FACE('',(#475),#7043,.T.); +#7433=ADVANCED_FACE('',(#476),#7044,.T.); +#7434=ADVANCED_FACE('',(#477),#7045,.T.); +#7435=ADVANCED_FACE('',(#478),#7046,.T.); +#7436=ADVANCED_FACE('',(#479),#7047,.F.); +#7437=ADVANCED_FACE('',(#480),#7048,.F.); +#7438=ADVANCED_FACE('',(#481),#7049,.T.); +#7439=ADVANCED_FACE('',(#482),#7050,.T.); +#7440=ADVANCED_FACE('',(#483),#7051,.T.); +#7441=ADVANCED_FACE('',(#484),#7052,.T.); +#7442=ADVANCED_FACE('',(#485),#7053,.T.); +#7443=ADVANCED_FACE('',(#486),#7054,.T.); +#7444=ADVANCED_FACE('',(#487),#7055,.T.); +#7445=ADVANCED_FACE('',(#488),#7056,.T.); +#7446=ADVANCED_FACE('',(#489),#7057,.T.); +#7447=ADVANCED_FACE('',(#490),#7058,.T.); +#7448=ADVANCED_FACE('',(#491),#7059,.T.); +#7449=ADVANCED_FACE('',(#492),#157,.T.); +#7450=ADVANCED_FACE('',(#493),#7060,.T.); +#7451=ADVANCED_FACE('',(#494),#158,.T.); +#7452=ADVANCED_FACE('',(#495),#7061,.T.); +#7453=ADVANCED_FACE('',(#496),#7062,.T.); +#7454=ADVANCED_FACE('',(#497),#159,.T.); +#7455=ADVANCED_FACE('',(#498),#7063,.T.); +#7456=ADVANCED_FACE('',(#499),#7064,.T.); +#7457=ADVANCED_FACE('',(#500),#160,.T.); +#7458=ADVANCED_FACE('',(#501),#7065,.T.); +#7459=ADVANCED_FACE('',(#502),#7066,.T.); +#7460=ADVANCED_FACE('',(#503),#7067,.T.); +#7461=ADVANCED_FACE('',(#504),#7068,.T.); +#7462=ADVANCED_FACE('',(#505),#161,.T.); +#7463=ADVANCED_FACE('',(#506),#7069,.T.); +#7464=ADVANCED_FACE('',(#507),#7070,.T.); +#7465=ADVANCED_FACE('',(#508),#162,.T.); +#7466=ADVANCED_FACE('',(#509),#7071,.T.); +#7467=ADVANCED_FACE('',(#510),#7072,.F.); +#7468=ADVANCED_FACE('',(#511),#7073,.F.); +#7469=ADVANCED_FACE('',(#512),#7074,.F.); +#7470=ADVANCED_FACE('',(#513),#7075,.F.); +#7471=ADVANCED_FACE('',(#514),#7076,.F.); +#7472=ADVANCED_FACE('',(#515),#7077,.F.); +#7473=ADVANCED_FACE('',(#516),#7078,.F.); +#7474=ADVANCED_FACE('',(#517),#7079,.T.); +#7475=ADVANCED_FACE('',(#518),#7080,.T.); +#7476=ADVANCED_FACE('',(#519),#7081,.T.); +#7477=ADVANCED_FACE('',(#520),#7082,.T.); +#7478=ADVANCED_FACE('',(#521),#7083,.T.); +#7479=ADVANCED_FACE('',(#522),#7084,.T.); +#7480=ADVANCED_FACE('',(#523),#7085,.T.); +#7481=ADVANCED_FACE('',(#524),#7086,.T.); +#7482=ADVANCED_FACE('',(#525),#7087,.T.); +#7483=ADVANCED_FACE('',(#526),#7088,.T.); +#7484=ADVANCED_FACE('',(#527),#7089,.T.); +#7485=ADVANCED_FACE('',(#528),#7090,.T.); +#7486=ADVANCED_FACE('',(#529),#7091,.T.); +#7487=ADVANCED_FACE('',(#530),#7092,.F.); +#7488=ADVANCED_FACE('',(#531),#7093,.F.); +#7489=ADVANCED_FACE('',(#532),#7094,.F.); +#7490=ADVANCED_FACE('',(#533),#7095,.T.); +#7491=ADVANCED_FACE('',(#534),#7096,.T.); +#7492=ADVANCED_FACE('',(#535),#7097,.T.); +#7493=ADVANCED_FACE('',(#536),#7098,.T.); +#7494=ADVANCED_FACE('',(#537),#7099,.T.); +#7495=ADVANCED_FACE('',(#538),#7100,.T.); +#7496=ADVANCED_FACE('',(#539),#7101,.T.); +#7497=ADVANCED_FACE('',(#540),#7102,.T.); +#7498=ADVANCED_FACE('',(#541),#7103,.F.); +#7499=ADVANCED_FACE('',(#542),#7104,.F.); +#7500=ADVANCED_FACE('',(#543),#7105,.T.); +#7501=ADVANCED_FACE('',(#544),#7106,.T.); +#7502=ADVANCED_FACE('',(#545),#7107,.T.); +#7503=ADVANCED_FACE('',(#546),#7108,.T.); +#7504=ADVANCED_FACE('',(#547),#7109,.T.); +#7505=ADVANCED_FACE('',(#548),#7110,.T.); +#7506=ADVANCED_FACE('',(#549),#7111,.T.); +#7507=ADVANCED_FACE('',(#550),#7112,.T.); +#7508=ADVANCED_FACE('',(#551),#7113,.T.); +#7509=ADVANCED_FACE('',(#552),#7114,.F.); +#7510=ADVANCED_FACE('',(#553),#7115,.F.); +#7511=ADVANCED_FACE('',(#554),#7116,.T.); +#7512=ADVANCED_FACE('',(#555),#7117,.T.); +#7513=ADVANCED_FACE('',(#556),#7118,.T.); +#7514=ADVANCED_FACE('',(#557),#7119,.T.); +#7515=ADVANCED_FACE('',(#558),#7120,.T.); +#7516=ADVANCED_FACE('',(#559),#7121,.T.); +#7517=ADVANCED_FACE('',(#560),#7122,.T.); +#7518=ADVANCED_FACE('',(#561),#7123,.T.); +#7519=ADVANCED_FACE('',(#562),#7124,.T.); +#7520=ADVANCED_FACE('',(#563),#7125,.T.); +#7521=ADVANCED_FACE('',(#564),#7126,.T.); +#7522=ADVANCED_FACE('',(#565),#7127,.T.); +#7523=ADVANCED_FACE('',(#566),#163,.T.); +#7524=ADVANCED_FACE('',(#567),#7128,.T.); +#7525=ADVANCED_FACE('',(#568),#7129,.T.); +#7526=ADVANCED_FACE('',(#569),#164,.T.); +#7527=ADVANCED_FACE('',(#570),#7130,.T.); +#7528=ADVANCED_FACE('',(#571),#165,.T.); +#7529=ADVANCED_FACE('',(#572),#7131,.T.); +#7530=ADVANCED_FACE('',(#573),#7132,.T.); +#7531=ADVANCED_FACE('',(#574),#7133,.T.); +#7532=ADVANCED_FACE('',(#575),#166,.T.); +#7533=ADVANCED_FACE('',(#576),#7134,.T.); +#7534=ADVANCED_FACE('',(#577),#7135,.T.); +#7535=ADVANCED_FACE('',(#578),#167,.T.); +#7536=ADVANCED_FACE('',(#579),#7136,.T.); +#7537=ADVANCED_FACE('',(#580),#7137,.T.); +#7538=ADVANCED_FACE('',(#581),#168,.T.); +#7539=ADVANCED_FACE('',(#582),#7138,.F.); +#7540=ADVANCED_FACE('',(#583),#7139,.F.); +#7541=ADVANCED_FACE('',(#584),#7140,.F.); +#7542=ADVANCED_FACE('',(#585),#7141,.F.); +#7543=ADVANCED_FACE('',(#586),#7142,.F.); +#7544=ADVANCED_FACE('',(#587),#7143,.F.); +#7545=ADVANCED_FACE('',(#588),#7144,.F.); +#7546=ADVANCED_FACE('',(#589),#7145,.T.); +#7547=ADVANCED_FACE('',(#590),#7146,.T.); +#7548=ADVANCED_FACE('',(#591),#7147,.T.); +#7549=ADVANCED_FACE('',(#592),#7148,.T.); +#7550=ADVANCED_FACE('',(#593),#7149,.T.); +#7551=ADVANCED_FACE('',(#594),#7150,.T.); +#7552=ADVANCED_FACE('',(#595),#7151,.T.); +#7553=ADVANCED_FACE('',(#596),#7152,.T.); +#7554=ADVANCED_FACE('',(#597),#7153,.F.); +#7555=ADVANCED_FACE('',(#598),#7154,.F.); +#7556=ADVANCED_FACE('',(#599),#7155,.T.); +#7557=ADVANCED_FACE('',(#600),#7156,.T.); +#7558=ADVANCED_FACE('',(#601),#7157,.T.); +#7559=ADVANCED_FACE('',(#602),#7158,.T.); +#7560=ADVANCED_FACE('',(#603),#7159,.T.); +#7561=ADVANCED_FACE('',(#604),#7160,.T.); +#7562=ADVANCED_FACE('',(#605),#7161,.T.); +#7563=ADVANCED_FACE('',(#606),#7162,.T.); +#7564=ADVANCED_FACE('',(#607),#7163,.T.); +#7565=ADVANCED_FACE('',(#608),#7164,.T.); +#7566=ADVANCED_FACE('',(#609),#7165,.T.); +#7567=ADVANCED_FACE('',(#610),#7166,.T.); +#7568=ADVANCED_FACE('',(#611),#7167,.T.); +#7569=ADVANCED_FACE('',(#612),#7168,.T.); +#7570=ADVANCED_FACE('',(#613),#7169,.T.); +#7571=ADVANCED_FACE('',(#614),#7170,.T.); +#7572=ADVANCED_FACE('',(#615),#7171,.F.); +#7573=ADVANCED_FACE('',(#616),#7172,.F.); +#7574=ADVANCED_FACE('',(#617),#7173,.T.); +#7575=ADVANCED_FACE('',(#618),#7174,.T.); +#7576=ADVANCED_FACE('',(#619),#7175,.T.); +#7577=ADVANCED_FACE('',(#620),#7176,.T.); +#7578=ADVANCED_FACE('',(#621),#7177,.T.); +#7579=ADVANCED_FACE('',(#622),#7178,.T.); +#7580=ADVANCED_FACE('',(#623),#7179,.T.); +#7581=ADVANCED_FACE('',(#624),#7180,.T.); +#7582=ADVANCED_FACE('',(#625),#7181,.T.); +#7583=ADVANCED_FACE('',(#626),#86,.F.); +#7584=ADVANCED_FACE('',(#627),#7182,.T.); +#7585=ADVANCED_FACE('',(#628),#87,.F.); +#7586=ADVANCED_FACE('',(#629),#7183,.T.); +#7587=ADVANCED_FACE('',(#630),#88,.T.); +#7588=ADVANCED_FACE('',(#631),#7184,.T.); +#7589=ADVANCED_FACE('',(#632),#89,.F.); +#7590=ADVANCED_FACE('',(#633),#7185,.T.); +#7591=ADVANCED_FACE('',(#634),#90,.F.); +#7592=ADVANCED_FACE('',(#635),#7186,.T.); +#7593=ADVANCED_FACE('',(#636),#91,.T.); +#7594=ADVANCED_FACE('',(#637),#7187,.T.); +#7595=ADVANCED_FACE('',(#638),#92,.F.); +#7596=ADVANCED_FACE('',(#639),#93,.F.); +#7597=ADVANCED_FACE('',(#640),#7188,.T.); +#7598=ADVANCED_FACE('',(#641),#94,.T.); +#7599=ADVANCED_FACE('',(#642),#7189,.T.); +#7600=ADVANCED_FACE('',(#643),#95,.F.); +#7601=ADVANCED_FACE('',(#644),#7190,.T.); +#7602=ADVANCED_FACE('',(#645),#96,.F.); +#7603=ADVANCED_FACE('',(#646),#7191,.T.); +#7604=ADVANCED_FACE('',(#647),#97,.T.); +#7605=ADVANCED_FACE('',(#648,#137,#138),#7192,.T.); +#7606=ADVANCED_FACE('',(#649),#7193,.T.); +#7607=ADVANCED_FACE('',(#650),#7194,.T.); +#7608=ADVANCED_FACE('',(#651),#7195,.T.); +#7609=ADVANCED_FACE('',(#652),#98,.T.); +#7610=ADVANCED_FACE('',(#653),#7196,.T.); +#7611=ADVANCED_FACE('',(#654),#99,.T.); +#7612=ADVANCED_FACE('',(#655),#7197,.T.); +#7613=ADVANCED_FACE('',(#656),#100,.F.); +#7614=ADVANCED_FACE('',(#657),#7198,.T.); +#7615=ADVANCED_FACE('',(#658),#7199,.T.); +#7616=ADVANCED_FACE('',(#659),#101,.T.); +#7617=ADVANCED_FACE('',(#660),#7200,.T.); +#7618=ADVANCED_FACE('',(#661),#102,.T.); +#7619=ADVANCED_FACE('',(#662),#7201,.T.); +#7620=ADVANCED_FACE('',(#663),#103,.T.); +#7621=ADVANCED_FACE('',(#664),#7202,.T.); +#7622=ADVANCED_FACE('',(#665),#7203,.T.); +#7623=ADVANCED_FACE('',(#666),#7204,.T.); +#7624=ADVANCED_FACE('',(#667),#7205,.T.); +#7625=ADVANCED_FACE('',(#668),#7206,.T.); +#7626=ADVANCED_FACE('',(#669),#7207,.T.); +#7627=ADVANCED_FACE('',(#670),#7208,.T.); +#7628=ADVANCED_FACE('',(#671),#104,.T.); +#7629=ADVANCED_FACE('',(#672),#7209,.T.); +#7630=ADVANCED_FACE('',(#673),#105,.T.); +#7631=ADVANCED_FACE('',(#674),#7210,.T.); +#7632=ADVANCED_FACE('',(#675),#106,.T.); +#7633=ADVANCED_FACE('',(#676),#7211,.T.); +#7634=ADVANCED_FACE('',(#677),#7212,.T.); +#7635=ADVANCED_FACE('',(#678),#107,.F.); +#7636=ADVANCED_FACE('',(#679),#7213,.T.); +#7637=ADVANCED_FACE('',(#680),#7214,.F.); +#7638=ADVANCED_FACE('',(#681),#7215,.T.); +#7639=ADVANCED_FACE('',(#682),#7216,.T.); +#7640=ADVANCED_FACE('',(#683),#7217,.T.); +#7641=ADVANCED_FACE('',(#684),#7218,.T.); +#7642=ADVANCED_FACE('',(#685),#7219,.T.); +#7643=ADVANCED_FACE('',(#686),#7220,.T.); +#7644=ADVANCED_FACE('',(#687),#7221,.T.); +#7645=ADVANCED_FACE('',(#688),#7222,.T.); +#7646=ADVANCED_FACE('',(#689),#7223,.T.); +#7647=ADVANCED_FACE('',(#690),#7224,.T.); +#7648=ADVANCED_FACE('',(#691),#7225,.T.); +#7649=ADVANCED_FACE('',(#692),#7226,.T.); +#7650=ADVANCED_FACE('',(#693),#290,.T.); +#7651=ADVANCED_FACE('',(#694),#108,.T.); +#7652=ADVANCED_FACE('',(#695),#7227,.T.); +#7653=ADVANCED_FACE('',(#696),#7228,.T.); +#7654=ADVANCED_FACE('',(#697),#109,.T.); +#7655=ADVANCED_FACE('',(#698),#7229,.T.); +#7656=ADVANCED_FACE('',(#699),#7230,.T.); +#7657=ADVANCED_FACE('',(#700),#110,.T.); +#7658=ADVANCED_FACE('',(#701),#7231,.T.); +#7659=ADVANCED_FACE('',(#702),#7232,.T.); +#7660=ADVANCED_FACE('',(#703),#7233,.T.); +#7661=ADVANCED_FACE('',(#704),#7234,.T.); +#7662=ADVANCED_FACE('',(#705),#7235,.T.); +#7663=ADVANCED_FACE('',(#706),#7236,.T.); +#7664=ADVANCED_FACE('',(#707),#7237,.T.); +#7665=ADVANCED_FACE('',(#708),#7238,.T.); +#7666=ADVANCED_FACE('',(#709),#111,.T.); +#7667=ADVANCED_FACE('',(#710),#7239,.T.); +#7668=ADVANCED_FACE('',(#711),#112,.T.); +#7669=ADVANCED_FACE('',(#712),#7240,.T.); +#7670=ADVANCED_FACE('',(#713),#113,.T.); +#7671=ADVANCED_FACE('',(#714),#7241,.T.); +#7672=ADVANCED_FACE('',(#715),#114,.T.); +#7673=ADVANCED_FACE('',(#716,#139,#140,#141,#142,#143,#144,#145),#7242, + .T.); +#7674=ADVANCED_FACE('',(#717,#146,#147),#7243,.F.); +#7675=ADVANCED_FACE('',(#718),#7244,.T.); +#7676=ADVANCED_FACE('',(#719),#7245,.T.); +#7677=ADVANCED_FACE('',(#720),#7246,.T.); +#7678=ADVANCED_FACE('',(#721),#7247,.T.); +#7679=ADVANCED_FACE('',(#722),#7248,.T.); +#7680=ADVANCED_FACE('',(#723),#7249,.T.); +#7681=ADVANCED_FACE('',(#724),#7250,.T.); +#7682=ADVANCED_FACE('',(#725),#7251,.T.); +#7683=ADVANCED_FACE('',(#726),#7252,.T.); +#7684=ADVANCED_FACE('',(#727),#7253,.T.); +#7685=ADVANCED_FACE('',(#728),#7254,.T.); +#7686=ADVANCED_FACE('',(#729),#7255,.T.); +#7687=ADVANCED_FACE('',(#730),#7256,.T.); +#7688=ADVANCED_FACE('',(#731),#7257,.T.); +#7689=ADVANCED_FACE('',(#732),#7258,.T.); +#7690=ADVANCED_FACE('',(#733),#7259,.T.); +#7691=ADVANCED_FACE('',(#734),#7260,.T.); +#7692=ADVANCED_FACE('',(#735),#7261,.T.); +#7693=ADVANCED_FACE('',(#736),#7262,.T.); +#7694=ADVANCED_FACE('',(#737),#7263,.T.); +#7695=ADVANCED_FACE('',(#738),#7264,.T.); +#7696=ADVANCED_FACE('',(#739),#7265,.T.); +#7697=ADVANCED_FACE('',(#740),#7266,.T.); +#7698=ADVANCED_FACE('',(#741),#7267,.T.); +#7699=ADVANCED_FACE('',(#742),#7268,.T.); +#7700=ADVANCED_FACE('',(#743),#7269,.T.); +#7701=ADVANCED_FACE('',(#744),#7270,.F.); +#7702=ADVANCED_FACE('',(#745),#7271,.T.); +#7703=ADVANCED_FACE('',(#746),#7272,.T.); +#7704=ADVANCED_FACE('',(#747,#148,#149),#7273,.F.); +#7705=ADVANCED_FACE('',(#748),#7274,.F.); +#7706=ADVANCED_FACE('',(#749),#7275,.T.); +#7707=ADVANCED_FACE('',(#750),#7276,.F.); +#7708=ADVANCED_FACE('',(#751),#7277,.F.); +#7709=ADVANCED_FACE('',(#752),#7278,.T.); +#7710=ADVANCED_FACE('',(#753),#115,.T.); +#7711=ADVANCED_FACE('',(#754),#291,.T.); +#7712=ADVANCED_FACE('',(#755),#7279,.T.); +#7713=ADVANCED_FACE('',(#756,#150),#7280,.F.); +#7714=ADVANCED_FACE('',(#757),#116,.T.); +#7715=ADVANCED_FACE('',(#758),#292,.T.); +#7716=ADVANCED_FACE('',(#759),#117,.T.); +#7717=ADVANCED_FACE('',(#760),#118,.T.); +#7718=ADVANCED_FACE('',(#761),#7281,.T.); +#7719=ADVANCED_FACE('',(#762),#7282,.T.); +#7720=ADVANCED_FACE('',(#763),#119,.T.); +#7721=ADVANCED_FACE('',(#764),#120,.T.); +#7722=ADVANCED_FACE('',(#765),#7283,.F.); +#7723=ADVANCED_FACE('',(#766),#7284,.T.); +#7724=ADVANCED_FACE('',(#767),#7285,.F.); +#7725=ADVANCED_FACE('',(#768),#7286,.T.); +#7726=ADVANCED_FACE('',(#769),#7287,.F.); +#7727=ADVANCED_FACE('',(#770),#7288,.F.); +#7728=CLOSED_SHELL('',(#7289,#7290,#7291,#7292,#7293,#7294,#7295,#7296, +#7297,#7298,#7299,#7300,#7301,#7302,#7303,#7304,#7305,#7306,#7307,#7308, +#7309,#7310,#7311,#7312,#7313,#7314,#7315,#7316,#7317,#7318,#7319,#7320, +#7321,#7322,#7323,#7324,#7325,#7326,#7327,#7328,#7329,#7330,#7331,#7332, +#7333,#7334,#7335,#7336,#7337,#7338,#7339,#7340,#7341,#7342,#7343,#7344, +#7345,#7346,#7347,#7348,#7349,#7350,#7351,#7352,#7353,#7354,#7355,#7356, +#7357,#7358,#7359,#7360,#7361,#7362,#7363,#7364,#7365,#7366,#7367,#7368, +#7369,#7370,#7371,#7372,#7373,#7374,#7375,#7376,#7377,#7378,#7379,#7380, +#7381,#7382,#7383,#7384,#7385,#7386,#7387,#7388,#7389,#7390,#7391,#7392, +#7393,#7394,#7395,#7396,#7397,#7398,#7399,#7400,#7401,#7402,#7403,#7404, +#7405,#7406,#7407,#7408,#7409,#7410,#7411,#7412,#7413,#7414,#7415,#7416, +#7417,#7418,#7419,#7420,#7421)); +#7729=CLOSED_SHELL('',(#7422,#7423,#7424,#7425,#7426)); +#7730=CLOSED_SHELL('',(#7427,#7428,#7429,#7430,#7431)); +#7731=CLOSED_SHELL('',(#7432,#7433,#7434,#7435,#7436)); +#7732=CLOSED_SHELL('',(#7437,#7438,#7439,#7440,#7441)); +#7733=CLOSED_SHELL('',(#7442,#7443,#7444,#7445,#7446,#7447,#7448,#7449, +#7450,#7451,#7452,#7453,#7454,#7455,#7456,#7457,#7458,#7459,#7460,#7461, +#7462,#7463,#7464,#7465,#7466,#7467,#7468,#7469,#7470,#7471,#7472)); +#7734=CLOSED_SHELL('',(#7473,#7474,#7475,#7476,#7477)); +#7735=CLOSED_SHELL('',(#7478,#7479,#7480,#7481,#7482,#7483,#7484,#7485, +#7486,#7487,#7488)); +#7736=CLOSED_SHELL('',(#7489,#7490,#7491,#7492,#7493)); +#7737=CLOSED_SHELL('',(#7494,#7495,#7496,#7497,#7498)); +#7738=CLOSED_SHELL('',(#7499,#7500,#7501,#7502,#7503)); +#7739=CLOSED_SHELL('',(#7504,#7505,#7506,#7507,#7508,#7509)); +#7740=CLOSED_SHELL('',(#7510,#7511,#7512,#7513,#7514)); +#7741=CLOSED_SHELL('',(#7515,#7516,#7517,#7518,#7519,#7520,#7521,#7522, +#7523,#7524,#7525,#7526,#7527,#7528,#7529,#7530,#7531,#7532,#7533,#7534, +#7535,#7536,#7537,#7538,#7539,#7540,#7541,#7542,#7543,#7544)); +#7742=CLOSED_SHELL('',(#7545,#7546,#7547,#7548,#7549)); +#7743=CLOSED_SHELL('',(#7550,#7551,#7552,#7553,#7554)); +#7744=CLOSED_SHELL('',(#7555,#7556,#7557,#7558,#7559,#7560)); +#7745=CLOSED_SHELL('',(#7561,#7562,#7563,#7564,#7565,#7566,#7567,#7568, +#7569,#7570,#7571,#7572,#7573)); +#7746=CLOSED_SHELL('',(#7574,#7575,#7576,#7577,#7578,#7579,#7580,#7581, +#7582,#7583,#7584,#7585,#7586,#7587,#7588,#7589,#7590,#7591,#7592,#7593, +#7594,#7595,#7596,#7597,#7598,#7599,#7600,#7601,#7602,#7603,#7604,#7605, +#7606,#7607,#7608,#7609,#7610,#7611,#7612,#7613,#7614,#7615,#7616,#7617, +#7618,#7619,#7620,#7621,#7622,#7623,#7624,#7625,#7626,#7627,#7628,#7629, +#7630,#7631,#7632,#7633,#7634,#7635,#7636,#7637)); +#7747=CLOSED_SHELL('',(#7638,#7639,#7640,#7641,#7642,#7643,#7644,#7645, +#7646,#7647,#7648,#7649,#7650,#7651,#7652,#7653,#7654,#7655,#7656,#7657, +#7658,#7659,#7660,#7661,#7662,#7663,#7664,#7665,#7666,#7667,#7668,#7669, +#7670,#7671,#7672,#7673,#7674)); +#7748=CLOSED_SHELL('',(#7675,#7676,#7677,#7678,#7679,#7680,#7681,#7682, +#7683,#7684,#7685,#7686,#7687,#7688,#7689,#7690,#7691,#7692,#7693,#7694, +#7695,#7696,#7697,#7698,#7699,#7700,#7701,#7702,#7703,#7704,#7705,#7706, +#7707,#7708,#7709,#7710,#7711,#7712,#7713,#7714,#7715,#7716,#7717,#7718, +#7719,#7720,#7721,#7722,#7723,#7724,#7725,#7726,#7727)); +#7749=BREP_WITH_VOIDS('Body1',#7728,(#46,#47,#48,#49,#50,#51,#52,#53,#54, +#55,#56,#57,#58,#59,#60,#61,#62)); +#7750=DERIVED_UNIT_ELEMENT(#7754,1.); +#7751=DERIVED_UNIT_ELEMENT(#12813,3.); +#7752=DERIVED_UNIT_ELEMENT(#7754,1.); +#7753=DERIVED_UNIT_ELEMENT(#12813,3.); +#7754=( +MASS_UNIT() +NAMED_UNIT(*) +SI_UNIT(.KILO.,.GRAM.) +); +#7755=DERIVED_UNIT((#7750,#7751)); +#7756=DERIVED_UNIT((#7752,#7753)); +#7757=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#7755); +#7758=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#7756); +#7759=PROPERTY_DEFINITION_REPRESENTATION(#7769,#7763); +#7760=PROPERTY_DEFINITION_REPRESENTATION(#7770,#7764); +#7761=PROPERTY_DEFINITION_REPRESENTATION(#7771,#7765); +#7762=PROPERTY_DEFINITION_REPRESENTATION(#7772,#7766); +#7763=REPRESENTATION('material name',(#7767),#12809); +#7764=REPRESENTATION('density',(#7757),#12809); +#7765=REPRESENTATION('material name',(#7768),#12810); +#7766=REPRESENTATION('density',(#7758),#12810); +#7767=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#7768=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#7769=PROPERTY_DEFINITION('material property','material name',#12829); +#7770=PROPERTY_DEFINITION('material property','density of part',#12829); +#7771=PROPERTY_DEFINITION('material property','material name',#12830); +#7772=PROPERTY_DEFINITION('material property','density of part',#12830); +#7773=AXIS2_PLACEMENT_3D('placement',#10339,#8328,#8329); +#7774=AXIS2_PLACEMENT_3D('placement',#10340,#8330,#8331); +#7775=AXIS2_PLACEMENT_3D('',#10341,#8332,#8333); +#7776=AXIS2_PLACEMENT_3D('',#10348,#8337,#8338); +#7777=AXIS2_PLACEMENT_3D('',#10359,#8342,#8343); +#7778=AXIS2_PLACEMENT_3D('',#10368,#8346,#8347); +#7779=AXIS2_PLACEMENT_3D('',#10377,#8350,#8351); +#7780=AXIS2_PLACEMENT_3D('',#10386,#8354,#8355); +#7781=AXIS2_PLACEMENT_3D('',#10395,#8358,#8359); +#7782=AXIS2_PLACEMENT_3D('',#10402,#8361,#8362); +#7783=AXIS2_PLACEMENT_3D('',#10409,#8366,#8367); +#7784=AXIS2_PLACEMENT_3D('',#10418,#8372,#8373); +#7785=AXIS2_PLACEMENT_3D('',#10420,#8374,#8375); +#7786=AXIS2_PLACEMENT_3D('',#10425,#8376,#8377); +#7787=AXIS2_PLACEMENT_3D('',#10426,#8378,#8379); +#7788=AXIS2_PLACEMENT_3D('',#10432,#8383,#8384); +#7789=AXIS2_PLACEMENT_3D('',#10434,#8385,#8386); +#7790=AXIS2_PLACEMENT_3D('',#10439,#8387,#8388); +#7791=AXIS2_PLACEMENT_3D('',#10440,#8389,#8390); +#7792=AXIS2_PLACEMENT_3D('',#10448,#8393,#8394); +#7793=AXIS2_PLACEMENT_3D('',#10450,#8395,#8396); +#7794=AXIS2_PLACEMENT_3D('',#10453,#8398,#8399); +#7795=AXIS2_PLACEMENT_3D('',#10454,#8400,#8401); +#7796=AXIS2_PLACEMENT_3D('',#10460,#8405,#8406); +#7797=AXIS2_PLACEMENT_3D('',#10461,#8407,#8408); +#7798=AXIS2_PLACEMENT_3D('',#10462,#8409,#8410); +#7799=AXIS2_PLACEMENT_3D('',#10463,#8411,#8412); +#7800=AXIS2_PLACEMENT_3D('',#10464,#8413,#8414); +#7801=AXIS2_PLACEMENT_3D('',#10470,#8418,#8419); +#7802=AXIS2_PLACEMENT_3D('',#10488,#8423,#8424); +#7803=AXIS2_PLACEMENT_3D('',#10492,#8427,#8428); +#7804=AXIS2_PLACEMENT_3D('',#10510,#8432,#8433); +#7805=AXIS2_PLACEMENT_3D('',#10514,#8436,#8437); +#7806=AXIS2_PLACEMENT_3D('',#10518,#8440,#8441); +#7807=AXIS2_PLACEMENT_3D('',#10522,#8444,#8445); +#7808=AXIS2_PLACEMENT_3D('',#10525,#8448,#8449); +#7809=AXIS2_PLACEMENT_3D('',#10529,#8452,#8453); +#7810=AXIS2_PLACEMENT_3D('',#10545,#8457,#8458); +#7811=AXIS2_PLACEMENT_3D('',#10549,#8461,#8462); +#7812=AXIS2_PLACEMENT_3D('',#10565,#8466,#8467); +#7813=AXIS2_PLACEMENT_3D('',#10569,#8470,#8471); +#7814=AXIS2_PLACEMENT_3D('',#10587,#8475,#8476); +#7815=AXIS2_PLACEMENT_3D('',#10603,#8480,#8481); +#7816=AXIS2_PLACEMENT_3D('',#10612,#8486,#8487); +#7817=AXIS2_PLACEMENT_3D('',#10618,#8491,#8492); +#7818=AXIS2_PLACEMENT_3D('',#10627,#8497,#8498); +#7819=AXIS2_PLACEMENT_3D('',#10636,#8503,#8504); +#7820=AXIS2_PLACEMENT_3D('',#10642,#8508,#8509); +#7821=AXIS2_PLACEMENT_3D('',#10651,#8514,#8515); +#7822=AXIS2_PLACEMENT_3D('',#10657,#8519,#8520); +#7823=AXIS2_PLACEMENT_3D('',#10666,#8525,#8526); +#7824=AXIS2_PLACEMENT_3D('',#10672,#8530,#8531); +#7825=AXIS2_PLACEMENT_3D('',#10681,#8536,#8537); +#7826=AXIS2_PLACEMENT_3D('',#10687,#8541,#8542); +#7827=AXIS2_PLACEMENT_3D('',#10736,#8570,#8571); +#7828=AXIS2_PLACEMENT_3D('',#10745,#8576,#8577); +#7829=AXIS2_PLACEMENT_3D('',#10749,#8579,#8580); +#7830=AXIS2_PLACEMENT_3D('',#10755,#8583,#8584); +#7831=AXIS2_PLACEMENT_3D('',#10757,#8585,#8586); +#7832=AXIS2_PLACEMENT_3D('',#10762,#8589,#8590); +#7833=AXIS2_PLACEMENT_3D('',#10764,#8591,#8592); +#7834=AXIS2_PLACEMENT_3D('',#10766,#8593,#8594); +#7835=AXIS2_PLACEMENT_3D('',#10771,#8597,#8598); +#7836=AXIS2_PLACEMENT_3D('',#10777,#8601,#8602); +#7837=AXIS2_PLACEMENT_3D('',#10781,#8604,#8605); +#7838=AXIS2_PLACEMENT_3D('',#10785,#8607,#8608); +#7839=AXIS2_PLACEMENT_3D('',#10789,#8610,#8611); +#7840=AXIS2_PLACEMENT_3D('',#10791,#8613,#8614); +#7841=AXIS2_PLACEMENT_3D('',#10800,#8619,#8620); +#7842=AXIS2_PLACEMENT_3D('',#10806,#8623,#8624); +#7843=AXIS2_PLACEMENT_3D('',#10810,#8626,#8627); +#7844=AXIS2_PLACEMENT_3D('',#10814,#8629,#8630); +#7845=AXIS2_PLACEMENT_3D('',#10818,#8632,#8633); +#7846=AXIS2_PLACEMENT_3D('',#10823,#8636,#8637); +#7847=AXIS2_PLACEMENT_3D('',#10829,#8640,#8641); +#7848=AXIS2_PLACEMENT_3D('',#10835,#8644,#8645); +#7849=AXIS2_PLACEMENT_3D('',#10840,#8648,#8649); +#7850=AXIS2_PLACEMENT_3D('',#10842,#8650,#8651); +#7851=AXIS2_PLACEMENT_3D('',#10848,#8654,#8655); +#7852=AXIS2_PLACEMENT_3D('',#10854,#8658,#8659); +#7853=AXIS2_PLACEMENT_3D('',#10859,#8662,#8663); +#7854=AXIS2_PLACEMENT_3D('',#10860,#8664,#8665); +#7855=AXIS2_PLACEMENT_3D('',#10861,#8666,#8667); +#7856=AXIS2_PLACEMENT_3D('',#10862,#8668,#8669); +#7857=AXIS2_PLACEMENT_3D('',#10863,#8670,#8671); +#7858=AXIS2_PLACEMENT_3D('',#10864,#8672,#8673); +#7859=AXIS2_PLACEMENT_3D('',#10865,#8674,#8675); +#7860=AXIS2_PLACEMENT_3D('',#10866,#8676,#8677); +#7861=AXIS2_PLACEMENT_3D('',#10877,#8684,#8685); +#7862=AXIS2_PLACEMENT_3D('',#10884,#8690,#8691); +#7863=AXIS2_PLACEMENT_3D('',#10891,#8696,#8697); +#7864=AXIS2_PLACEMENT_3D('',#10898,#8702,#8703); +#7865=AXIS2_PLACEMENT_3D('',#10904,#8707,#8708); +#7866=AXIS2_PLACEMENT_3D('',#10911,#8713,#8714); +#7867=AXIS2_PLACEMENT_3D('',#10918,#8719,#8720); +#7868=AXIS2_PLACEMENT_3D('',#10925,#8725,#8726); +#7869=AXIS2_PLACEMENT_3D('',#10931,#8730,#8731); +#7870=AXIS2_PLACEMENT_3D('',#10942,#8738,#8739); +#7871=AXIS2_PLACEMENT_3D('',#10949,#8744,#8745); +#7872=AXIS2_PLACEMENT_3D('',#10955,#8749,#8750); +#7873=AXIS2_PLACEMENT_3D('',#10962,#8755,#8756); +#7874=AXIS2_PLACEMENT_3D('',#10968,#8760,#8761); +#7875=AXIS2_PLACEMENT_3D('',#10975,#8766,#8767); +#7876=AXIS2_PLACEMENT_3D('',#10981,#8771,#8772); +#7877=AXIS2_PLACEMENT_3D('',#10987,#8776,#8777); +#7878=AXIS2_PLACEMENT_3D('',#10991,#8780,#8781); +#7879=AXIS2_PLACEMENT_3D('',#10993,#8783,#8784); +#7880=AXIS2_PLACEMENT_3D('',#11001,#8789,#8790); +#7881=AXIS2_PLACEMENT_3D('',#11003,#8792,#8793); +#7882=AXIS2_PLACEMENT_3D('',#11007,#8796,#8797); +#7883=AXIS2_PLACEMENT_3D('',#11011,#8800,#8801); +#7884=AXIS2_PLACEMENT_3D('',#11013,#8803,#8804); +#7885=AXIS2_PLACEMENT_3D('',#11017,#8807,#8808); +#7886=AXIS2_PLACEMENT_3D('',#11021,#8811,#8812); +#7887=AXIS2_PLACEMENT_3D('',#11027,#8816,#8817); +#7888=AXIS2_PLACEMENT_3D('',#11029,#8819,#8820); +#7889=AXIS2_PLACEMENT_3D('',#11033,#8823,#8824); +#7890=AXIS2_PLACEMENT_3D('',#11037,#8827,#8828); +#7891=AXIS2_PLACEMENT_3D('',#11039,#8830,#8831); +#7892=AXIS2_PLACEMENT_3D('',#11044,#8835,#8836); +#7893=AXIS2_PLACEMENT_3D('',#11048,#8839,#8840); +#7894=AXIS2_PLACEMENT_3D('',#11052,#8843,#8844); +#7895=AXIS2_PLACEMENT_3D('',#11056,#8847,#8848); +#7896=AXIS2_PLACEMENT_3D('',#11060,#8851,#8852); +#7897=AXIS2_PLACEMENT_3D('',#11065,#8856,#8857); +#7898=AXIS2_PLACEMENT_3D('',#11067,#8859,#8860); +#7899=AXIS2_PLACEMENT_3D('',#11069,#8862,#8863); +#7900=AXIS2_PLACEMENT_3D('',#11070,#8864,#8865); +#7901=AXIS2_PLACEMENT_3D('',#11071,#8866,#8867); +#7902=AXIS2_PLACEMENT_3D('',#11072,#8868,#8869); +#7903=AXIS2_PLACEMENT_3D('',#11073,#8870,#8871); +#7904=AXIS2_PLACEMENT_3D('',#11074,#8872,#8873); +#7905=AXIS2_PLACEMENT_3D('',#11076,#8875,#8876); +#7906=AXIS2_PLACEMENT_3D('',#11077,#8877,#8878); +#7907=AXIS2_PLACEMENT_3D('',#11078,#8879,#8880); +#7908=AXIS2_PLACEMENT_3D('',#11079,#8881,#8882); +#7909=AXIS2_PLACEMENT_3D('',#11083,#8884,#8885); +#7910=AXIS2_PLACEMENT_3D('',#11085,#8887,#8888); +#7911=AXIS2_PLACEMENT_3D('',#11089,#8891,#8892); +#7912=AXIS2_PLACEMENT_3D('',#11091,#8893,#8894); +#7913=AXIS2_PLACEMENT_3D('',#11093,#8896,#8897); +#7914=AXIS2_PLACEMENT_3D('',#11097,#8900,#8901); +#7915=AXIS2_PLACEMENT_3D('',#11099,#8902,#8903); +#7916=AXIS2_PLACEMENT_3D('',#11101,#8905,#8906); +#7917=AXIS2_PLACEMENT_3D('',#11105,#8909,#8910); +#7918=AXIS2_PLACEMENT_3D('',#11107,#8911,#8912); +#7919=AXIS2_PLACEMENT_3D('',#11109,#8914,#8915); +#7920=AXIS2_PLACEMENT_3D('',#11111,#8917,#8918); +#7921=AXIS2_PLACEMENT_3D('',#11112,#8919,#8920); +#7922=AXIS2_PLACEMENT_3D('',#11113,#8921,#8922); +#7923=AXIS2_PLACEMENT_3D('',#11114,#8923,#8924); +#7924=AXIS2_PLACEMENT_3D('',#11115,#8925,#8926); +#7925=AXIS2_PLACEMENT_3D('',#11117,#8928,#8929); +#7926=AXIS2_PLACEMENT_3D('',#11118,#8930,#8931); +#7927=AXIS2_PLACEMENT_3D('',#11119,#8932,#8933); +#7928=AXIS2_PLACEMENT_3D('',#11120,#8934,#8935); +#7929=AXIS2_PLACEMENT_3D('',#11121,#8936,#8937); +#7930=AXIS2_PLACEMENT_3D('',#11122,#8938,#8939); +#7931=AXIS2_PLACEMENT_3D('',#11123,#8940,#8941); +#7932=AXIS2_PLACEMENT_3D('',#11127,#8943,#8944); +#7933=AXIS2_PLACEMENT_3D('',#11131,#8946,#8947); +#7934=AXIS2_PLACEMENT_3D('',#11135,#8949,#8950); +#7935=AXIS2_PLACEMENT_3D('',#11139,#8952,#8953); +#7936=AXIS2_PLACEMENT_3D('',#11141,#8955,#8956); +#7937=AXIS2_PLACEMENT_3D('',#11142,#8957,#8958); +#7938=AXIS2_PLACEMENT_3D('',#11143,#8959,#8960); +#7939=AXIS2_PLACEMENT_3D('',#11144,#8961,#8962); +#7940=AXIS2_PLACEMENT_3D('',#11145,#8963,#8964); +#7941=AXIS2_PLACEMENT_3D('',#11146,#8965,#8966); +#7942=AXIS2_PLACEMENT_3D('',#11147,#8967,#8968); +#7943=AXIS2_PLACEMENT_3D('',#11148,#8969,#8970); +#7944=AXIS2_PLACEMENT_3D('',#11149,#8971,#8972); +#7945=AXIS2_PLACEMENT_3D('',#11151,#8974,#8975); +#7946=AXIS2_PLACEMENT_3D('',#11153,#8977,#8978); +#7947=AXIS2_PLACEMENT_3D('',#11155,#8980,#8981); +#7948=AXIS2_PLACEMENT_3D('',#11157,#8983,#8984); +#7949=AXIS2_PLACEMENT_3D('',#11159,#8986,#8987); +#7950=AXIS2_PLACEMENT_3D('',#11161,#8989,#8990); +#7951=AXIS2_PLACEMENT_3D('',#11163,#8992,#8993); +#7952=AXIS2_PLACEMENT_3D('',#11165,#8995,#8996); +#7953=AXIS2_PLACEMENT_3D('',#11166,#8997,#8998); +#7954=AXIS2_PLACEMENT_3D('',#11167,#8999,#9000); +#7955=AXIS2_PLACEMENT_3D('',#11168,#9001,#9002); +#7956=AXIS2_PLACEMENT_3D('',#11169,#9003,#9004); +#7957=AXIS2_PLACEMENT_3D('',#11176,#9008,#9009); +#7958=AXIS2_PLACEMENT_3D('',#11182,#9013,#9014); +#7959=AXIS2_PLACEMENT_3D('',#11186,#9017,#9018); +#7960=AXIS2_PLACEMENT_3D('',#11188,#9020,#9021); +#7961=AXIS2_PLACEMENT_3D('',#11189,#9022,#9023); +#7962=AXIS2_PLACEMENT_3D('',#11196,#9027,#9028); +#7963=AXIS2_PLACEMENT_3D('',#11202,#9032,#9033); +#7964=AXIS2_PLACEMENT_3D('',#11206,#9036,#9037); +#7965=AXIS2_PLACEMENT_3D('',#11208,#9039,#9040); +#7966=AXIS2_PLACEMENT_3D('',#11209,#9041,#9042); +#7967=AXIS2_PLACEMENT_3D('',#11216,#9046,#9047); +#7968=AXIS2_PLACEMENT_3D('',#11222,#9051,#9052); +#7969=AXIS2_PLACEMENT_3D('',#11226,#9055,#9056); +#7970=AXIS2_PLACEMENT_3D('',#11228,#9058,#9059); +#7971=AXIS2_PLACEMENT_3D('',#11229,#9060,#9061); +#7972=AXIS2_PLACEMENT_3D('',#11236,#9065,#9066); +#7973=AXIS2_PLACEMENT_3D('',#11242,#9070,#9071); +#7974=AXIS2_PLACEMENT_3D('',#11246,#9074,#9075); +#7975=AXIS2_PLACEMENT_3D('',#11248,#9077,#9078); +#7976=AXIS2_PLACEMENT_3D('',#11249,#9079,#9080); +#7977=AXIS2_PLACEMENT_3D('',#11259,#9083,#9084); +#7978=AXIS2_PLACEMENT_3D('',#11273,#9089,#9090); +#7979=AXIS2_PLACEMENT_3D('',#11282,#9093,#9094); +#7980=AXIS2_PLACEMENT_3D('',#11290,#9096,#9097); +#7981=AXIS2_PLACEMENT_3D('',#11298,#9100,#9101); +#7982=AXIS2_PLACEMENT_3D('',#11306,#9104,#9105); +#7983=AXIS2_PLACEMENT_3D('',#11326,#9110,#9111); +#7984=AXIS2_PLACEMENT_3D('',#11343,#9115,#9116); +#7985=AXIS2_PLACEMENT_3D('',#11347,#9119,#9120); +#7986=AXIS2_PLACEMENT_3D('',#11364,#9124,#9125); +#7987=AXIS2_PLACEMENT_3D('',#11368,#9128,#9129); +#7988=AXIS2_PLACEMENT_3D('',#11386,#9133,#9134); +#7989=AXIS2_PLACEMENT_3D('',#11390,#9137,#9138); +#7990=AXIS2_PLACEMENT_3D('',#11394,#9141,#9142); +#7991=AXIS2_PLACEMENT_3D('',#11398,#9145,#9146); +#7992=AXIS2_PLACEMENT_3D('',#11415,#9150,#9151); +#7993=AXIS2_PLACEMENT_3D('',#11419,#9154,#9155); +#7994=AXIS2_PLACEMENT_3D('',#11435,#9159,#9160); +#7995=AXIS2_PLACEMENT_3D('',#11437,#9162,#9163); +#7996=AXIS2_PLACEMENT_3D('',#11438,#9164,#9165); +#7997=AXIS2_PLACEMENT_3D('',#11439,#9166,#9167); +#7998=AXIS2_PLACEMENT_3D('',#11440,#9168,#9169); +#7999=AXIS2_PLACEMENT_3D('',#11441,#9170,#9171); +#8000=AXIS2_PLACEMENT_3D('',#11442,#9172,#9173); +#8001=AXIS2_PLACEMENT_3D('',#11443,#9174,#9175); +#8002=AXIS2_PLACEMENT_3D('',#11450,#9179,#9180); +#8003=AXIS2_PLACEMENT_3D('',#11456,#9184,#9185); +#8004=AXIS2_PLACEMENT_3D('',#11460,#9188,#9189); +#8005=AXIS2_PLACEMENT_3D('',#11462,#9191,#9192); +#8006=AXIS2_PLACEMENT_3D('',#11463,#9193,#9194); +#8007=AXIS2_PLACEMENT_3D('',#11470,#9198,#9199); +#8008=AXIS2_PLACEMENT_3D('',#11478,#9204,#9205); +#8009=AXIS2_PLACEMENT_3D('',#11484,#9209,#9210); +#8010=AXIS2_PLACEMENT_3D('',#11488,#9213,#9214); +#8011=AXIS2_PLACEMENT_3D('',#11492,#9217,#9218); +#8012=AXIS2_PLACEMENT_3D('',#11496,#9221,#9222); +#8013=AXIS2_PLACEMENT_3D('',#11500,#9225,#9226); +#8014=AXIS2_PLACEMENT_3D('',#11503,#9229,#9230); +#8015=AXIS2_PLACEMENT_3D('',#11505,#9232,#9233); +#8016=AXIS2_PLACEMENT_3D('',#11506,#9234,#9235); +#8017=AXIS2_PLACEMENT_3D('',#11507,#9236,#9237); +#8018=AXIS2_PLACEMENT_3D('',#11514,#9241,#9242); +#8019=AXIS2_PLACEMENT_3D('',#11520,#9246,#9247); +#8020=AXIS2_PLACEMENT_3D('',#11524,#9250,#9251); +#8021=AXIS2_PLACEMENT_3D('',#11526,#9253,#9254); +#8022=AXIS2_PLACEMENT_3D('',#11527,#9255,#9256); +#8023=AXIS2_PLACEMENT_3D('',#11534,#9260,#9261); +#8024=AXIS2_PLACEMENT_3D('',#11540,#9265,#9266); +#8025=AXIS2_PLACEMENT_3D('',#11544,#9269,#9270); +#8026=AXIS2_PLACEMENT_3D('',#11546,#9272,#9273); +#8027=AXIS2_PLACEMENT_3D('',#11547,#9274,#9275); +#8028=AXIS2_PLACEMENT_3D('',#11554,#9279,#9280); +#8029=AXIS2_PLACEMENT_3D('',#11560,#9284,#9285); +#8030=AXIS2_PLACEMENT_3D('',#11564,#9288,#9289); +#8031=AXIS2_PLACEMENT_3D('',#11566,#9291,#9292); +#8032=AXIS2_PLACEMENT_3D('',#11567,#9293,#9294); +#8033=AXIS2_PLACEMENT_3D('',#11576,#9299,#9300); +#8034=AXIS2_PLACEMENT_3D('',#11582,#9304,#9305); +#8035=AXIS2_PLACEMENT_3D('',#11586,#9308,#9309); +#8036=AXIS2_PLACEMENT_3D('',#11590,#9312,#9313); +#8037=AXIS2_PLACEMENT_3D('',#11592,#9315,#9316); +#8038=AXIS2_PLACEMENT_3D('',#11593,#9317,#9318); +#8039=AXIS2_PLACEMENT_3D('',#11600,#9322,#9323); +#8040=AXIS2_PLACEMENT_3D('',#11606,#9327,#9328); +#8041=AXIS2_PLACEMENT_3D('',#11610,#9331,#9332); +#8042=AXIS2_PLACEMENT_3D('',#11612,#9334,#9335); +#8043=AXIS2_PLACEMENT_3D('',#11613,#9336,#9337); +#8044=AXIS2_PLACEMENT_3D('',#11623,#9340,#9341); +#8045=AXIS2_PLACEMENT_3D('',#11635,#9345,#9346); +#8046=AXIS2_PLACEMENT_3D('',#11642,#9348,#9349); +#8047=AXIS2_PLACEMENT_3D('',#11651,#9352,#9353); +#8048=AXIS2_PLACEMENT_3D('',#11660,#9356,#9357); +#8049=AXIS2_PLACEMENT_3D('',#11668,#9360,#9361); +#8050=AXIS2_PLACEMENT_3D('',#11674,#9365,#9366); +#8051=AXIS2_PLACEMENT_3D('',#11692,#9370,#9371); +#8052=AXIS2_PLACEMENT_3D('',#11696,#9374,#9375); +#8053=AXIS2_PLACEMENT_3D('',#11714,#9379,#9380); +#8054=AXIS2_PLACEMENT_3D('',#11732,#9384,#9385); +#8055=AXIS2_PLACEMENT_3D('',#11736,#9388,#9389); +#8056=AXIS2_PLACEMENT_3D('',#11740,#9392,#9393); +#8057=AXIS2_PLACEMENT_3D('',#11756,#9397,#9398); +#8058=AXIS2_PLACEMENT_3D('',#11760,#9401,#9402); +#8059=AXIS2_PLACEMENT_3D('',#11776,#9406,#9407); +#8060=AXIS2_PLACEMENT_3D('',#11780,#9410,#9411); +#8061=AXIS2_PLACEMENT_3D('',#11795,#9414,#9415); +#8062=AXIS2_PLACEMENT_3D('',#11796,#9416,#9417); +#8063=AXIS2_PLACEMENT_3D('',#11797,#9418,#9419); +#8064=AXIS2_PLACEMENT_3D('',#11798,#9420,#9421); +#8065=AXIS2_PLACEMENT_3D('',#11799,#9422,#9423); +#8066=AXIS2_PLACEMENT_3D('',#11800,#9424,#9425); +#8067=AXIS2_PLACEMENT_3D('',#11801,#9426,#9427); +#8068=AXIS2_PLACEMENT_3D('',#11808,#9431,#9432); +#8069=AXIS2_PLACEMENT_3D('',#11814,#9436,#9437); +#8070=AXIS2_PLACEMENT_3D('',#11818,#9440,#9441); +#8071=AXIS2_PLACEMENT_3D('',#11820,#9443,#9444); +#8072=AXIS2_PLACEMENT_3D('',#11821,#9445,#9446); +#8073=AXIS2_PLACEMENT_3D('',#11828,#9450,#9451); +#8074=AXIS2_PLACEMENT_3D('',#11834,#9455,#9456); +#8075=AXIS2_PLACEMENT_3D('',#11838,#9459,#9460); +#8076=AXIS2_PLACEMENT_3D('',#11840,#9462,#9463); +#8077=AXIS2_PLACEMENT_3D('',#11841,#9464,#9465); +#8078=AXIS2_PLACEMENT_3D('',#11850,#9470,#9471); +#8079=AXIS2_PLACEMENT_3D('',#11856,#9475,#9476); +#8080=AXIS2_PLACEMENT_3D('',#11860,#9479,#9480); +#8081=AXIS2_PLACEMENT_3D('',#11864,#9483,#9484); +#8082=AXIS2_PLACEMENT_3D('',#11866,#9486,#9487); +#8083=AXIS2_PLACEMENT_3D('',#11867,#9488,#9489); +#8084=AXIS2_PLACEMENT_3D('',#11878,#9495,#9496); +#8085=AXIS2_PLACEMENT_3D('',#11886,#9501,#9502); +#8086=AXIS2_PLACEMENT_3D('',#11892,#9506,#9507); +#8087=AXIS2_PLACEMENT_3D('',#11896,#9510,#9511); +#8088=AXIS2_PLACEMENT_3D('',#11900,#9514,#9515); +#8089=AXIS2_PLACEMENT_3D('',#11904,#9518,#9519); +#8090=AXIS2_PLACEMENT_3D('',#11908,#9522,#9523); +#8091=AXIS2_PLACEMENT_3D('',#11912,#9526,#9527); +#8092=AXIS2_PLACEMENT_3D('',#11915,#9530,#9531); +#8093=AXIS2_PLACEMENT_3D('',#11919,#9534,#9535); +#8094=AXIS2_PLACEMENT_3D('',#11921,#9537,#9538); +#8095=AXIS2_PLACEMENT_3D('',#11922,#9539,#9540); +#8096=AXIS2_PLACEMENT_3D('',#11923,#9541,#9542); +#8097=AXIS2_PLACEMENT_3D('',#11932,#9547,#9548); +#8098=AXIS2_PLACEMENT_3D('',#11938,#9552,#9553); +#8099=AXIS2_PLACEMENT_3D('',#11944,#9557,#9558); +#8100=AXIS2_PLACEMENT_3D('',#11947,#9561,#9562); +#8101=AXIS2_PLACEMENT_3D('',#11956,#9567,#9568); +#8102=AXIS2_PLACEMENT_3D('',#11962,#9572,#9573); +#8103=AXIS2_PLACEMENT_3D('',#11968,#9577,#9578); +#8104=AXIS2_PLACEMENT_3D('',#11971,#9581,#9582); +#8105=AXIS2_PLACEMENT_3D('',#11980,#9587,#9588); +#8106=AXIS2_PLACEMENT_3D('',#11982,#9589,#9590); +#8107=AXIS2_PLACEMENT_3D('',#11984,#9591,#9592); +#8108=AXIS2_PLACEMENT_3D('',#11986,#9594,#9595); +#8109=AXIS2_PLACEMENT_3D('',#11992,#9599,#9600); +#8110=AXIS2_PLACEMENT_3D('',#11994,#9601,#9602); +#8111=AXIS2_PLACEMENT_3D('',#11996,#9603,#9604); +#8112=AXIS2_PLACEMENT_3D('',#11998,#9606,#9607); +#8113=AXIS2_PLACEMENT_3D('',#12004,#9611,#9612); +#8114=AXIS2_PLACEMENT_3D('',#12006,#9613,#9614); +#8115=AXIS2_PLACEMENT_3D('',#12008,#9615,#9616); +#8116=AXIS2_PLACEMENT_3D('',#12010,#9618,#9619); +#8117=AXIS2_PLACEMENT_3D('',#12016,#9623,#9624); +#8118=AXIS2_PLACEMENT_3D('',#12018,#9625,#9626); +#8119=AXIS2_PLACEMENT_3D('',#12020,#9627,#9628); +#8120=AXIS2_PLACEMENT_3D('',#12022,#9630,#9631); +#8121=AXIS2_PLACEMENT_3D('',#12028,#9635,#9636); +#8122=AXIS2_PLACEMENT_3D('',#12030,#9637,#9638); +#8123=AXIS2_PLACEMENT_3D('',#12032,#9639,#9640); +#8124=AXIS2_PLACEMENT_3D('',#12034,#9642,#9643); +#8125=AXIS2_PLACEMENT_3D('',#12040,#9647,#9648); +#8126=AXIS2_PLACEMENT_3D('',#12042,#9649,#9650); +#8127=AXIS2_PLACEMENT_3D('',#12044,#9651,#9652); +#8128=AXIS2_PLACEMENT_3D('',#12046,#9654,#9655); +#8129=AXIS2_PLACEMENT_3D('',#12052,#9659,#9660); +#8130=AXIS2_PLACEMENT_3D('',#12054,#9661,#9662); +#8131=AXIS2_PLACEMENT_3D('',#12056,#9663,#9664); +#8132=AXIS2_PLACEMENT_3D('',#12058,#9666,#9667); +#8133=AXIS2_PLACEMENT_3D('',#12061,#9668,#9669); +#8134=AXIS2_PLACEMENT_3D('',#12065,#9671,#9672); +#8135=AXIS2_PLACEMENT_3D('',#12067,#9674,#9675); +#8136=AXIS2_PLACEMENT_3D('',#12073,#9679,#9680); +#8137=AXIS2_PLACEMENT_3D('',#12075,#9681,#9682); +#8138=AXIS2_PLACEMENT_3D('',#12077,#9683,#9684); +#8139=AXIS2_PLACEMENT_3D('',#12079,#9686,#9687); +#8140=AXIS2_PLACEMENT_3D('',#12085,#9691,#9692); +#8141=AXIS2_PLACEMENT_3D('',#12087,#9693,#9694); +#8142=AXIS2_PLACEMENT_3D('',#12089,#9695,#9696); +#8143=AXIS2_PLACEMENT_3D('',#12091,#9698,#9699); +#8144=AXIS2_PLACEMENT_3D('',#12097,#9703,#9704); +#8145=AXIS2_PLACEMENT_3D('',#12099,#9705,#9706); +#8146=AXIS2_PLACEMENT_3D('',#12101,#9707,#9708); +#8147=AXIS2_PLACEMENT_3D('',#12103,#9710,#9711); +#8148=AXIS2_PLACEMENT_3D('',#12109,#9715,#9716); +#8149=AXIS2_PLACEMENT_3D('',#12110,#9717,#9718); +#8150=AXIS2_PLACEMENT_3D('',#12111,#9719,#9720); +#8151=AXIS2_PLACEMENT_3D('',#12112,#9721,#9722); +#8152=AXIS2_PLACEMENT_3D('',#12116,#9724,#9725); +#8153=AXIS2_PLACEMENT_3D('',#12120,#9727,#9728); +#8154=AXIS2_PLACEMENT_3D('',#12124,#9730,#9731); +#8155=AXIS2_PLACEMENT_3D('',#12128,#9733,#9734); +#8156=AXIS2_PLACEMENT_3D('',#12130,#9736,#9737); +#8157=AXIS2_PLACEMENT_3D('',#12131,#9738,#9739); +#8158=AXIS2_PLACEMENT_3D('',#12132,#9740,#9741); +#8159=AXIS2_PLACEMENT_3D('',#12138,#9745,#9746); +#8160=AXIS2_PLACEMENT_3D('',#12140,#9747,#9748); +#8161=AXIS2_PLACEMENT_3D('',#12142,#9750,#9751); +#8162=AXIS2_PLACEMENT_3D('',#12146,#9754,#9755); +#8163=AXIS2_PLACEMENT_3D('',#12148,#9756,#9757); +#8164=AXIS2_PLACEMENT_3D('',#12150,#9759,#9760); +#8165=AXIS2_PLACEMENT_3D('',#12154,#9763,#9764); +#8166=AXIS2_PLACEMENT_3D('',#12156,#9765,#9766); +#8167=AXIS2_PLACEMENT_3D('',#12158,#9768,#9769); +#8168=AXIS2_PLACEMENT_3D('',#12164,#9773,#9774); +#8169=AXIS2_PLACEMENT_3D('',#12170,#9778,#9779); +#8170=AXIS2_PLACEMENT_3D('',#12172,#9780,#9781); +#8171=AXIS2_PLACEMENT_3D('',#12174,#9782,#9783); +#8172=AXIS2_PLACEMENT_3D('',#12176,#9785,#9786); +#8173=AXIS2_PLACEMENT_3D('',#12182,#9790,#9791); +#8174=AXIS2_PLACEMENT_3D('',#12184,#9792,#9793); +#8175=AXIS2_PLACEMENT_3D('',#12186,#9794,#9795); +#8176=AXIS2_PLACEMENT_3D('',#12188,#9797,#9798); +#8177=AXIS2_PLACEMENT_3D('',#12194,#9802,#9803); +#8178=AXIS2_PLACEMENT_3D('',#12196,#9804,#9805); +#8179=AXIS2_PLACEMENT_3D('',#12198,#9806,#9807); +#8180=AXIS2_PLACEMENT_3D('',#12200,#9809,#9810); +#8181=AXIS2_PLACEMENT_3D('',#12206,#9814,#9815); +#8182=AXIS2_PLACEMENT_3D('',#12212,#9819,#9820); +#8183=AXIS2_PLACEMENT_3D('',#12218,#9824,#9825); +#8184=AXIS2_PLACEMENT_3D('',#12224,#9829,#9830); +#8185=AXIS2_PLACEMENT_3D('',#12230,#9834,#9835); +#8186=AXIS2_PLACEMENT_3D('',#12236,#9839,#9840); +#8187=AXIS2_PLACEMENT_3D('',#12242,#9844,#9845); +#8188=AXIS2_PLACEMENT_3D('',#12244,#9846,#9847); +#8189=AXIS2_PLACEMENT_3D('',#12246,#9848,#9849); +#8190=AXIS2_PLACEMENT_3D('',#12248,#9851,#9852); +#8191=AXIS2_PLACEMENT_3D('',#12254,#9856,#9857); +#8192=AXIS2_PLACEMENT_3D('',#12256,#9858,#9859); +#8193=AXIS2_PLACEMENT_3D('',#12258,#9860,#9861); +#8194=AXIS2_PLACEMENT_3D('',#12260,#9863,#9864); +#8195=AXIS2_PLACEMENT_3D('',#12266,#9868,#9869); +#8196=AXIS2_PLACEMENT_3D('',#12268,#9870,#9871); +#8197=AXIS2_PLACEMENT_3D('',#12270,#9872,#9873); +#8198=AXIS2_PLACEMENT_3D('',#12272,#9875,#9876); +#8199=AXIS2_PLACEMENT_3D('',#12278,#9880,#9881); +#8200=AXIS2_PLACEMENT_3D('',#12283,#9885,#9886); +#8201=AXIS2_PLACEMENT_3D('',#12284,#9887,#9888); +#8202=AXIS2_PLACEMENT_3D('',#12285,#9889,#9890); +#8203=AXIS2_PLACEMENT_3D('',#12286,#9891,#9892); +#8204=AXIS2_PLACEMENT_3D('',#12287,#9893,#9894); +#8205=AXIS2_PLACEMENT_3D('',#12296,#9899,#9900); +#8206=AXIS2_PLACEMENT_3D('',#12302,#9904,#9905); +#8207=AXIS2_PLACEMENT_3D('',#12310,#9910,#9911); +#8208=AXIS2_PLACEMENT_3D('',#12314,#9914,#9915); +#8209=AXIS2_PLACEMENT_3D('',#12320,#9919,#9920); +#8210=AXIS2_PLACEMENT_3D('',#12322,#9922,#9923); +#8211=AXIS2_PLACEMENT_3D('',#12331,#9928,#9929); +#8212=AXIS2_PLACEMENT_3D('',#12337,#9933,#9934); +#8213=AXIS2_PLACEMENT_3D('',#12345,#9939,#9940); +#8214=AXIS2_PLACEMENT_3D('',#12349,#9943,#9944); +#8215=AXIS2_PLACEMENT_3D('',#12355,#9948,#9949); +#8216=AXIS2_PLACEMENT_3D('',#12357,#9951,#9952); +#8217=AXIS2_PLACEMENT_3D('',#12359,#9953,#9954); +#8218=AXIS2_PLACEMENT_3D('',#12362,#9956,#9957); +#8219=AXIS2_PLACEMENT_3D('',#12363,#9958,#9959); +#8220=AXIS2_PLACEMENT_3D('',#12366,#9961,#9962); +#8221=AXIS2_PLACEMENT_3D('',#12367,#9963,#9964); +#8222=AXIS2_PLACEMENT_3D('',#12368,#9965,#9966); +#8223=AXIS2_PLACEMENT_3D('',#12370,#9968,#9969); +#8224=AXIS2_PLACEMENT_3D('',#12372,#9970,#9971); +#8225=AXIS2_PLACEMENT_3D('',#12375,#9973,#9974); +#8226=AXIS2_PLACEMENT_3D('',#12376,#9975,#9976); +#8227=AXIS2_PLACEMENT_3D('',#12377,#9977,#9978); +#8228=AXIS2_PLACEMENT_3D('',#12379,#9980,#9981); +#8229=AXIS2_PLACEMENT_3D('',#12381,#9982,#9983); +#8230=AXIS2_PLACEMENT_3D('',#12384,#9985,#9986); +#8231=AXIS2_PLACEMENT_3D('',#12385,#9987,#9988); +#8232=AXIS2_PLACEMENT_3D('',#12386,#9989,#9990); +#8233=AXIS2_PLACEMENT_3D('',#12395,#9995,#9996); +#8234=AXIS2_PLACEMENT_3D('',#12401,#10000,#10001); +#8235=AXIS2_PLACEMENT_3D('',#12404,#10004,#10005); +#8236=AXIS2_PLACEMENT_3D('',#12413,#10010,#10011); +#8237=AXIS2_PLACEMENT_3D('',#12419,#10015,#10016); +#8238=AXIS2_PLACEMENT_3D('',#12422,#10019,#10020); +#8239=AXIS2_PLACEMENT_3D('',#12431,#10025,#10026); +#8240=AXIS2_PLACEMENT_3D('',#12433,#10027,#10028); +#8241=AXIS2_PLACEMENT_3D('',#12435,#10029,#10030); +#8242=AXIS2_PLACEMENT_3D('',#12437,#10032,#10033); +#8243=AXIS2_PLACEMENT_3D('',#12443,#10037,#10038); +#8244=AXIS2_PLACEMENT_3D('',#12445,#10039,#10040); +#8245=AXIS2_PLACEMENT_3D('',#12447,#10041,#10042); +#8246=AXIS2_PLACEMENT_3D('',#12449,#10044,#10045); +#8247=AXIS2_PLACEMENT_3D('',#12455,#10049,#10050); +#8248=AXIS2_PLACEMENT_3D('',#12457,#10051,#10052); +#8249=AXIS2_PLACEMENT_3D('',#12459,#10053,#10054); +#8250=AXIS2_PLACEMENT_3D('',#12461,#10056,#10057); +#8251=AXIS2_PLACEMENT_3D('',#12467,#10061,#10062); +#8252=AXIS2_PLACEMENT_3D('',#12468,#10063,#10064); +#8253=AXIS2_PLACEMENT_3D('',#12469,#10065,#10066); +#8254=AXIS2_PLACEMENT_3D('',#12470,#10067,#10068); +#8255=AXIS2_PLACEMENT_3D('',#12471,#10069,#10070); +#8256=AXIS2_PLACEMENT_3D('placement',#12472,#10071,#10072); +#8257=AXIS2_PLACEMENT_3D('',#12473,#10073,#10074); +#8258=AXIS2_PLACEMENT_3D('',#12482,#10079,#10080); +#8259=AXIS2_PLACEMENT_3D('',#12488,#10084,#10085); +#8260=AXIS2_PLACEMENT_3D('',#12494,#10089,#10090); +#8261=AXIS2_PLACEMENT_3D('',#12500,#10094,#10095); +#8262=AXIS2_PLACEMENT_3D('',#12506,#10099,#10100); +#8263=AXIS2_PLACEMENT_3D('',#12512,#10104,#10105); +#8264=AXIS2_PLACEMENT_3D('',#12518,#10109,#10110); +#8265=AXIS2_PLACEMENT_3D('',#12524,#10114,#10115); +#8266=AXIS2_PLACEMENT_3D('',#12530,#10119,#10120); +#8267=AXIS2_PLACEMENT_3D('',#12536,#10124,#10125); +#8268=AXIS2_PLACEMENT_3D('',#12542,#10129,#10130); +#8269=AXIS2_PLACEMENT_3D('',#12545,#10133,#10134); +#8270=AXIS2_PLACEMENT_3D('',#12546,#10135,#10136); +#8271=AXIS2_PLACEMENT_3D('',#12555,#10141,#10142); +#8272=AXIS2_PLACEMENT_3D('',#12561,#10146,#10147); +#8273=AXIS2_PLACEMENT_3D('',#12567,#10151,#10152); +#8274=AXIS2_PLACEMENT_3D('',#12573,#10156,#10157); +#8275=AXIS2_PLACEMENT_3D('',#12579,#10161,#10162); +#8276=AXIS2_PLACEMENT_3D('',#12585,#10166,#10167); +#8277=AXIS2_PLACEMENT_3D('',#12591,#10171,#10172); +#8278=AXIS2_PLACEMENT_3D('',#12597,#10176,#10177); +#8279=AXIS2_PLACEMENT_3D('',#12603,#10181,#10182); +#8280=AXIS2_PLACEMENT_3D('',#12609,#10186,#10187); +#8281=AXIS2_PLACEMENT_3D('',#12615,#10191,#10192); +#8282=AXIS2_PLACEMENT_3D('',#12618,#10195,#10196); +#8283=AXIS2_PLACEMENT_3D('',#12619,#10197,#10198); +#8284=AXIS2_PLACEMENT_3D('',#12632,#10205,#10206); +#8285=AXIS2_PLACEMENT_3D('',#12640,#10211,#10212); +#8286=AXIS2_PLACEMENT_3D('',#12648,#10217,#10218); +#8287=AXIS2_PLACEMENT_3D('',#12651,#10221,#10222); +#8288=AXIS2_PLACEMENT_3D('',#12660,#10227,#10228); +#8289=AXIS2_PLACEMENT_3D('',#12663,#10231,#10232); +#8290=AXIS2_PLACEMENT_3D('',#12665,#10234,#10235); +#8291=AXIS2_PLACEMENT_3D('',#12667,#10237,#10238); +#8292=AXIS2_PLACEMENT_3D('',#12668,#10239,#10240); +#8293=AXIS2_PLACEMENT_3D('',#12671,#10241,#10242); +#8294=AXIS2_PLACEMENT_3D('',#12675,#10244,#10245); +#8295=AXIS2_PLACEMENT_3D('',#12690,#10247,#10248); +#8296=AXIS2_PLACEMENT_3D('',#12692,#10249,#10250); +#8297=AXIS2_PLACEMENT_3D('',#12696,#10252,#10253); +#8298=AXIS2_PLACEMENT_3D('',#12698,#10255,#10256); +#8299=AXIS2_PLACEMENT_3D('',#12704,#10259,#10260); +#8300=AXIS2_PLACEMENT_3D('',#12706,#10262,#10263); +#8301=AXIS2_PLACEMENT_3D('',#12710,#10265,#10266); +#8302=AXIS2_PLACEMENT_3D('',#12714,#10268,#10269); +#8303=AXIS2_PLACEMENT_3D('',#12717,#10271,#10272); +#8304=AXIS2_PLACEMENT_3D('',#12718,#10273,#10274); +#8305=AXIS2_PLACEMENT_3D('',#12734,#10276,#10277); +#8306=AXIS2_PLACEMENT_3D('',#12735,#10278,#10279); +#8307=AXIS2_PLACEMENT_3D('',#12739,#10281,#10282); +#8308=AXIS2_PLACEMENT_3D('',#12741,#10284,#10285); +#8309=AXIS2_PLACEMENT_3D('',#12745,#10287,#10288); +#8310=AXIS2_PLACEMENT_3D('',#12756,#10289,#10290); +#8311=AXIS2_PLACEMENT_3D('',#12758,#10292,#10293); +#8312=AXIS2_PLACEMENT_3D('',#12762,#10295,#10296); +#8313=AXIS2_PLACEMENT_3D('',#12764,#10298,#10299); +#8314=AXIS2_PLACEMENT_3D('',#12768,#10302,#10303); +#8315=AXIS2_PLACEMENT_3D('',#12770,#10305,#10306); +#8316=AXIS2_PLACEMENT_3D('',#12774,#10308,#10309); +#8317=AXIS2_PLACEMENT_3D('',#12784,#10310,#10311); +#8318=AXIS2_PLACEMENT_3D('',#12785,#10312,#10313); +#8319=AXIS2_PLACEMENT_3D('',#12789,#10315,#10316); +#8320=AXIS2_PLACEMENT_3D('',#12791,#10318,#10319); +#8321=AXIS2_PLACEMENT_3D('',#12793,#10321,#10322); +#8322=AXIS2_PLACEMENT_3D('',#12797,#10326,#10327); +#8323=AXIS2_PLACEMENT_3D('',#12798,#10328,#10329); +#8324=AXIS2_PLACEMENT_3D('',#12800,#10331,#10332); +#8325=AXIS2_PLACEMENT_3D('',#12801,#10333,#10334); +#8326=AXIS2_PLACEMENT_3D('',#12802,#10335,#10336); +#8327=AXIS2_PLACEMENT_3D('',#12803,#10337,#10338); +#8328=DIRECTION('axis',(0.,0.,1.)); +#8329=DIRECTION('refdir',(1.,0.,0.)); +#8330=DIRECTION('axis',(0.,0.,1.)); +#8331=DIRECTION('refdir',(1.,0.,0.)); +#8332=DIRECTION('center_axis',(0.,1.,0.)); +#8333=DIRECTION('ref_axis',(1.,0.,0.)); +#8334=DIRECTION('',(0.219327858454423,0.,0.975651213552157)); +#8335=DIRECTION('',(0.,0.,1.)); +#8336=DIRECTION('',(-0.215728093412717,0.,-0.976453475446994)); +#8337=DIRECTION('center_axis',(0.,1.,0.)); +#8338=DIRECTION('ref_axis',(1.,0.,0.)); +#8339=DIRECTION('',(0.514933408055446,0.,0.857230182196359)); +#8340=DIRECTION('',(0.215728093412717,0.,0.976453475446994)); +#8341=DIRECTION('',(-0.219327858454423,0.,-0.975651213552157)); +#8342=DIRECTION('center_axis',(0.,1.,0.)); +#8343=DIRECTION('ref_axis',(1.,0.,0.)); +#8344=DIRECTION('',(-0.514933408055446,0.,-0.857230182196359)); +#8345=DIRECTION('',(-0.165789415492934,0.,-0.986161178363107)); +#8346=DIRECTION('center_axis',(0.,1.,0.)); +#8347=DIRECTION('ref_axis',(1.,0.,0.)); +#8348=DIRECTION('',(-0.166258058416723,0.,0.986082277506041)); +#8349=DIRECTION('',(0.165789415492934,0.,0.986161178363107)); +#8350=DIRECTION('center_axis',(0.,1.,0.)); +#8351=DIRECTION('ref_axis',(1.,0.,0.)); +#8352=DIRECTION('',(0.166258058416723,0.,-0.986082277506041)); +#8353=DIRECTION('',(0.479046129053975,0.,-0.877789727804104)); +#8354=DIRECTION('center_axis',(0.,1.,0.)); +#8355=DIRECTION('ref_axis',(1.,0.,0.)); +#8356=DIRECTION('',(-0.241538434264928,0.,0.970391253450302)); +#8357=DIRECTION('',(-0.479046129053975,0.,0.877789727804104)); +#8358=DIRECTION('center_axis',(0.,1.,0.)); +#8359=DIRECTION('ref_axis',(1.,0.,0.)); +#8360=DIRECTION('',(0.241538434264928,0.,-0.970391253450302)); +#8361=DIRECTION('center_axis',(0.,1.,0.)); +#8362=DIRECTION('ref_axis',(1.,0.,0.)); +#8363=DIRECTION('',(0.934810687517224,0.,-0.355146418401728)); +#8364=DIRECTION('',(1.,0.,0.)); +#8365=DIRECTION('',(-0.935322631225166,0.,0.353795951811255)); +#8366=DIRECTION('center_axis',(0.,0.173648177666929,0.984807753012208)); +#8367=DIRECTION('ref_axis',(-1.,0.,0.)); +#8368=DIRECTION('',(-1.,0.,0.)); +#8369=DIRECTION('',(0.,-0.984807753012208,0.173648177666931)); +#8370=DIRECTION('',(-1.,0.,0.)); +#8371=DIRECTION('',(0.,-0.984807753012208,0.173648177666929)); +#8372=DIRECTION('center_axis',(0.,-1.,0.)); +#8373=DIRECTION('ref_axis',(0.,0.,1.)); +#8374=DIRECTION('center_axis',(0.,-1.,0.)); +#8375=DIRECTION('ref_axis',(0.,0.,1.)); +#8376=DIRECTION('center_axis',(0.,1.,0.)); +#8377=DIRECTION('ref_axis',(0.,0.,1.)); +#8378=DIRECTION('center_axis',(0.984807753012199,0.17364817766693,-1.3462013598862E-7)); +#8379=DIRECTION('ref_axis',(1.36696868578523E-7,0.,0.999999999999991)); +#8380=DIRECTION('',(1.36696868578523E-7,0.,0.999999999999991)); +#8381=DIRECTION('',(0.173648177666929,-0.984807753012208,-2.37371624103533E-8)); +#8382=DIRECTION('',(1.36696868578523E-7,0.,0.999999999999991)); +#8383=DIRECTION('center_axis',(0.,-1.,0.)); +#8384=DIRECTION('ref_axis',(0.999999999999991,0.,-1.36696869971423E-7)); +#8385=DIRECTION('center_axis',(0.,-1.,0.)); +#8386=DIRECTION('ref_axis',(0.999999999999991,0.,-1.36696869971423E-7)); +#8387=DIRECTION('center_axis',(0.,1.,0.)); +#8388=DIRECTION('ref_axis',(0.999999999999991,0.,-1.36696869971423E-7)); +#8389=DIRECTION('center_axis',(3.07053293895817E-5,0.173648177666935,-0.984807752533526)); +#8390=DIRECTION('ref_axis',(0.999999999513935,0.,3.11790085888987E-5)); +#8391=DIRECTION('',(0.999999999513935,0.,3.11790085888987E-5)); +#8392=DIRECTION('',(0.999999999513935,0.,3.11790085888987E-5)); +#8393=DIRECTION('center_axis',(0.,-1.,0.)); +#8394=DIRECTION('ref_axis',(-0.012143618079692,0.,-0.999926263551435)); +#8395=DIRECTION('center_axis',(0.,-1.,0.)); +#8396=DIRECTION('ref_axis',(-0.012143618079692,0.,-0.999926263551436)); +#8397=DIRECTION('',(-0.17364817766693,-0.984807753012208,-8.69283130594579E-10)); +#8398=DIRECTION('center_axis',(0.,1.,0.)); +#8399=DIRECTION('ref_axis',(-0.012143618079692,0.,-0.999926263551435)); +#8400=DIRECTION('center_axis',(-0.984807753012208,0.17364817766693,-4.92995540684092E-9)); +#8401=DIRECTION('ref_axis',(5.00600791551628E-9,0.,-1.)); +#8402=DIRECTION('',(5.00600791551628E-9,0.,-1.)); +#8403=DIRECTION('',(-0.173648263631619,-0.984807468248875,-0.000728710480400228)); +#8404=DIRECTION('',(5.00600791551628E-9,0.,-1.)); +#8405=DIRECTION('center_axis',(0.,-1.,0.)); +#8406=DIRECTION('ref_axis',(-0.999999557926054,0.,0.000940291282525486)); +#8407=DIRECTION('center_axis',(0.,-1.,0.)); +#8408=DIRECTION('ref_axis',(-0.999999557926054,0.,0.000940291282525486)); +#8409=DIRECTION('center_axis',(0.,1.,0.)); +#8410=DIRECTION('ref_axis',(-0.999999557926054,0.,0.000940291282525486)); +#8411=DIRECTION('center_axis',(0.,1.,0.)); +#8412=DIRECTION('ref_axis',(1.,0.,0.)); +#8413=DIRECTION('center_axis',(0.877789727804104,0.,0.479046129053975)); +#8414=DIRECTION('ref_axis',(0.479046129053975,0.,-0.877789727804104)); +#8415=DIRECTION('',(-0.479046129053975,0.,0.877789727804104)); +#8416=DIRECTION('',(0.,1.,0.)); +#8417=DIRECTION('',(0.,1.,0.)); +#8418=DIRECTION('center_axis',(0.986082277506041,0.,0.166258058416723)); +#8419=DIRECTION('ref_axis',(0.166258058416723,0.,-0.986082277506041)); +#8420=DIRECTION('',(-0.166258058416723,0.,0.986082277506041)); +#8421=DIRECTION('',(0.,1.,0.)); +#8422=DIRECTION('',(0.,1.,0.)); +#8423=DIRECTION('center_axis',(0.986161178363107,0.,-0.165789415492934)); +#8424=DIRECTION('ref_axis',(-0.165789415492934,0.,-0.986161178363107)); +#8425=DIRECTION('',(0.165789415492934,0.,0.986161178363107)); +#8426=DIRECTION('',(0.,1.,0.)); +#8427=DIRECTION('center_axis',(0.857230182196359,0.,-0.514933408055446)); +#8428=DIRECTION('ref_axis',(-0.514933408055446,0.,-0.857230182196359)); +#8429=DIRECTION('',(0.514933408055446,0.,0.857230182196359)); +#8430=DIRECTION('',(0.,1.,0.)); +#8431=DIRECTION('',(0.,1.,0.)); +#8432=DIRECTION('center_axis',(0.975651213552157,0.,-0.219327858454423)); +#8433=DIRECTION('ref_axis',(-0.219327858454423,0.,-0.975651213552157)); +#8434=DIRECTION('',(0.219327858454423,0.,0.975651213552157)); +#8435=DIRECTION('',(0.,1.,0.)); +#8436=DIRECTION('center_axis',(0.976453475446994,0.,-0.215728093412717)); +#8437=DIRECTION('ref_axis',(-0.215728093412717,0.,-0.976453475446994)); +#8438=DIRECTION('',(0.215728093412717,0.,0.976453475446994)); +#8439=DIRECTION('',(0.,1.,0.)); +#8440=DIRECTION('center_axis',(-1.,0.,0.)); +#8441=DIRECTION('ref_axis',(0.,0.,1.)); +#8442=DIRECTION('',(0.,0.,-1.)); +#8443=DIRECTION('',(0.,1.,0.)); +#8444=DIRECTION('center_axis',(-0.975651213552157,0.,0.219327858454423)); +#8445=DIRECTION('ref_axis',(0.219327858454423,0.,0.975651213552157)); +#8446=DIRECTION('',(-0.219327858454423,0.,-0.975651213552157)); +#8447=DIRECTION('',(0.,1.,0.)); +#8448=DIRECTION('center_axis',(-0.976453475446994,0.,0.215728093412717)); +#8449=DIRECTION('ref_axis',(0.215728093412717,0.,0.976453475446994)); +#8450=DIRECTION('',(-0.215728093412717,0.,-0.976453475446994)); +#8451=DIRECTION('',(0.,1.,0.)); +#8452=DIRECTION('center_axis',(-0.857230182196359,0.,0.514933408055446)); +#8453=DIRECTION('ref_axis',(0.514933408055446,0.,0.857230182196359)); +#8454=DIRECTION('',(-0.514933408055446,0.,-0.857230182196359)); +#8455=DIRECTION('',(0.,1.,0.)); +#8456=DIRECTION('',(0.,1.,0.)); +#8457=DIRECTION('center_axis',(-0.986161178363107,0.,0.165789415492934)); +#8458=DIRECTION('ref_axis',(0.165789415492934,0.,0.986161178363107)); +#8459=DIRECTION('',(-0.165789415492934,0.,-0.986161178363107)); +#8460=DIRECTION('',(0.,1.,0.)); +#8461=DIRECTION('center_axis',(-0.986082277506041,0.,-0.166258058416723)); +#8462=DIRECTION('ref_axis',(-0.166258058416723,0.,0.986082277506041)); +#8463=DIRECTION('',(0.166258058416723,0.,-0.986082277506041)); +#8464=DIRECTION('',(0.,1.,0.)); +#8465=DIRECTION('',(0.,1.,0.)); +#8466=DIRECTION('center_axis',(-0.877789727804104,0.,-0.479046129053975)); +#8467=DIRECTION('ref_axis',(-0.479046129053975,0.,0.877789727804104)); +#8468=DIRECTION('',(0.479046129053975,0.,-0.877789727804104)); +#8469=DIRECTION('',(0.,1.,0.)); +#8470=DIRECTION('center_axis',(-0.970391253450301,0.,-0.241538434264928)); +#8471=DIRECTION('ref_axis',(-0.241538434264928,0.,0.970391253450302)); +#8472=DIRECTION('',(0.241538434264928,0.,-0.970391253450302)); +#8473=DIRECTION('',(0.,1.,0.)); +#8474=DIRECTION('',(0.,1.,0.)); +#8475=DIRECTION('center_axis',(0.970391253450301,0.,0.241538434264928)); +#8476=DIRECTION('ref_axis',(0.241538434264928,0.,-0.970391253450302)); +#8477=DIRECTION('',(-0.241538434264928,0.,0.970391253450302)); +#8478=DIRECTION('',(0.,1.,0.)); +#8479=DIRECTION('',(0.,1.,0.)); +#8480=DIRECTION('center_axis',(-0.681230695899616,0.,0.732068807533913)); +#8481=DIRECTION('ref_axis',(0.732068807533913,0.,0.681230695899616)); +#8482=DIRECTION('',(-0.732068807533913,0.,-0.681230695899616)); +#8483=DIRECTION('',(0.,1.,0.)); +#8484=DIRECTION('',(0.732068807533913,0.,0.681230695899616)); +#8485=DIRECTION('',(0.,1.,0.)); +#8486=DIRECTION('center_axis',(-1.,0.,0.)); +#8487=DIRECTION('ref_axis',(0.,0.,1.)); +#8488=DIRECTION('',(0.,0.,-1.)); +#8489=DIRECTION('',(0.,0.,1.)); +#8490=DIRECTION('',(0.,1.,0.)); +#8491=DIRECTION('center_axis',(0.,0.,-1.)); +#8492=DIRECTION('ref_axis',(-1.,0.,0.)); +#8493=DIRECTION('',(1.,0.,0.)); +#8494=DIRECTION('',(0.,1.,0.)); +#8495=DIRECTION('',(-1.,0.,0.)); +#8496=DIRECTION('',(0.,1.,0.)); +#8497=DIRECTION('center_axis',(1.,0.,0.)); +#8498=DIRECTION('ref_axis',(0.,0.,-1.)); +#8499=DIRECTION('',(0.,0.,1.)); +#8500=DIRECTION('',(0.,1.,0.)); +#8501=DIRECTION('',(0.,0.,-1.)); +#8502=DIRECTION('',(0.,1.,0.)); +#8503=DIRECTION('center_axis',(0.682076401993721,0.,0.731280918555448)); +#8504=DIRECTION('ref_axis',(0.731280918555448,0.,-0.682076401993721)); +#8505=DIRECTION('',(-0.731280918555448,0.,0.682076401993721)); +#8506=DIRECTION('',(0.731280918555448,0.,-0.682076401993721)); +#8507=DIRECTION('',(0.,1.,0.)); +#8508=DIRECTION('center_axis',(0.707106781186542,0.,-0.707106781186553)); +#8509=DIRECTION('ref_axis',(-0.707106781186553,0.,-0.707106781186542)); +#8510=DIRECTION('',(0.707106781186553,0.,0.707106781186542)); +#8511=DIRECTION('',(0.,1.,0.)); +#8512=DIRECTION('',(-0.707106781186553,0.,-0.707106781186542)); +#8513=DIRECTION('',(0.,1.,0.)); +#8514=DIRECTION('center_axis',(1.,0.,0.)); +#8515=DIRECTION('ref_axis',(0.,0.,-1.)); +#8516=DIRECTION('',(0.,0.,1.)); +#8517=DIRECTION('',(0.,0.,-1.)); +#8518=DIRECTION('',(0.,1.,0.)); +#8519=DIRECTION('center_axis',(0.192155292855372,0.,0.981364531368271)); +#8520=DIRECTION('ref_axis',(0.981364531368271,0.,-0.192155292855372)); +#8521=DIRECTION('',(-0.981364531368271,0.,0.192155292855372)); +#8522=DIRECTION('',(0.,1.,0.)); +#8523=DIRECTION('',(0.981364531368271,0.,-0.192155292855372)); +#8524=DIRECTION('',(0.,1.,0.)); +#8525=DIRECTION('center_axis',(0.,0.,1.)); +#8526=DIRECTION('ref_axis',(1.,0.,0.)); +#8527=DIRECTION('',(-1.,0.,0.)); +#8528=DIRECTION('',(1.,0.,0.)); +#8529=DIRECTION('',(0.,1.,0.)); +#8530=DIRECTION('center_axis',(-1.,0.,0.)); +#8531=DIRECTION('ref_axis',(0.,0.,1.)); +#8532=DIRECTION('',(0.,0.,-1.)); +#8533=DIRECTION('',(0.,1.,0.)); +#8534=DIRECTION('',(0.,0.,1.)); +#8535=DIRECTION('',(0.,1.,0.)); +#8536=DIRECTION('center_axis',(-0.706286948063096,0.,-0.707925664879948)); +#8537=DIRECTION('ref_axis',(-0.707925664879948,0.,0.706286948063096)); +#8538=DIRECTION('',(0.707925664879948,0.,-0.706286948063096)); +#8539=DIRECTION('',(-0.707925664879948,0.,0.706286948063096)); +#8540=DIRECTION('',(0.,1.,0.)); +#8541=DIRECTION('center_axis',(0.,1.,0.)); +#8542=DIRECTION('ref_axis',(1.,0.,0.)); +#8543=DIRECTION('',(0.155590943588229,0.,0.987821572083402)); +#8544=DIRECTION('',(0.42784747740287,0.,0.903850947933342)); +#8545=DIRECTION('',(0.599999999999999,0.,0.800000000000001)); +#8546=DIRECTION('',(0.804914666319009,0.,0.593390579588654)); +#8547=DIRECTION('',(0.905614565285838,0.,0.424101708487649)); +#8548=DIRECTION('',(0.987542007633533,0.,0.157355594622914)); +#8549=DIRECTION('',(0.98186126227472,0.,0.189600795473788)); +#8550=DIRECTION('',(0.987586672386031,0.,-0.157075028331961)); +#8551=DIRECTION('',(0.905614565285843,0.,-0.424101708487637)); +#8552=DIRECTION('',(0.805572296501583,0.,-0.592497489538281)); +#8553=DIRECTION('',(0.599999999999996,0.,-0.800000000000003)); +#8554=DIRECTION('',(0.424814064038538,0.,-0.905280625549371)); +#8555=DIRECTION('',(0.157355594622925,0.,-0.987542007633531)); +#8556=DIRECTION('',(9.91333991274879E-17,0.,-1.)); +#8557=DIRECTION('',(-0.15707502833197,0.,-0.987586672386029)); +#8558=DIRECTION('',(-0.40498952323934,0.,-0.914321325391884)); +#8559=DIRECTION('',(-0.62491591896026,0.,-0.780692061077897)); +#8560=DIRECTION('',(-0.806464479117059,0.,-0.591282541533615)); +#8561=DIRECTION('',(-0.905614565285839,0.,-0.424101708487647)); +#8562=DIRECTION('',(-0.978606693277692,0.,-0.205739981219261)); +#8563=DIRECTION('',(-0.978530765636441,0.,0.206100802286066)); +#8564=DIRECTION('',(-0.905614565285839,0.,0.424101708487647)); +#8565=DIRECTION('',(-0.805808814542335,0.,0.59217577998925)); +#8566=DIRECTION('',(-0.62491591896026,0.,0.780692061077897)); +#8567=DIRECTION('',(-0.407940062190055,0.,0.913008710615827)); +#8568=DIRECTION('',(-0.155313367011892,0.,0.987865252970985)); +#8569=DIRECTION('',(0.,0.,1.)); +#8570=DIRECTION('center_axis',(0.,1.,0.)); +#8571=DIRECTION('ref_axis',(1.,0.,0.)); +#8572=DIRECTION('',(-9.91333991274879E-17,0.,1.)); +#8573=DIRECTION('',(1.,0.,0.)); +#8574=DIRECTION('',(-3.39125957834184E-16,0.,-1.)); +#8575=DIRECTION('',(-1.,0.,0.)); +#8576=DIRECTION('center_axis',(0.,1.,0.)); +#8577=DIRECTION('ref_axis',(1.,0.,0.)); +#8578=DIRECTION('',(-9.91333991274879E-17,0.,1.)); +#8579=DIRECTION('center_axis',(0.,1.,0.)); +#8580=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8581=DIRECTION('',(-0.157355594622925,0.,0.987542007633531)); +#8582=DIRECTION('',(-0.424814064038538,0.,0.905280625549371)); +#8583=DIRECTION('center_axis',(0.,1.,0.)); +#8584=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8585=DIRECTION('center_axis',(0.,1.,0.)); +#8586=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8587=DIRECTION('',(-0.905614565285843,0.,0.424101708487637)); +#8588=DIRECTION('',(-0.987586672386031,0.,0.157075028331961)); +#8589=DIRECTION('center_axis',(0.,1.,0.)); +#8590=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8591=DIRECTION('center_axis',(0.,1.,0.)); +#8592=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8593=DIRECTION('center_axis',(0.,1.,0.)); +#8594=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8595=DIRECTION('',(-0.42784747740287,0.,-0.903850947933342)); +#8596=DIRECTION('',(-0.155590943588229,0.,-0.987821572083402)); +#8597=DIRECTION('center_axis',(0.,1.,0.)); +#8598=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8599=DIRECTION('',(0.,0.,-1.)); +#8600=DIRECTION('',(1.,0.,0.)); +#8601=DIRECTION('center_axis',(0.,1.,0.)); +#8602=DIRECTION('ref_axis',(-0.0165320579063765,0.,-0.999863336192192)); +#8603=DIRECTION('',(1.00315289457332E-7,0.,0.999999999999995)); +#8604=DIRECTION('center_axis',(0.,1.,0.)); +#8605=DIRECTION('ref_axis',(-0.999999750989442,0.,0.000705706068274193)); +#8606=DIRECTION('',(-1.,0.,0.)); +#8607=DIRECTION('center_axis',(0.,1.,0.)); +#8608=DIRECTION('ref_axis',(0.,0.,1.)); +#8609=DIRECTION('',(-0.0145137088706901,0.,0.999894670580265)); +#8610=DIRECTION('center_axis',(0.,1.,0.)); +#8611=DIRECTION('ref_axis',(0.998159691170113,0.,0.0606401758175656)); +#8612=DIRECTION('',(1.,0.,1.99056855705328E-15)); +#8613=DIRECTION('center_axis',(0.,1.,0.)); +#8614=DIRECTION('ref_axis',(1.,0.,0.)); +#8615=DIRECTION('',(0.,0.,-1.)); +#8616=DIRECTION('',(-1.,0.,0.)); +#8617=DIRECTION('',(0.,0.,1.)); +#8618=DIRECTION('',(0.999796427161478,0.,0.0201768242085506)); +#8619=DIRECTION('center_axis',(0.,1.,0.)); +#8620=DIRECTION('ref_axis',(1.,0.,0.)); +#8621=DIRECTION('',(-9.91333991274879E-17,0.,1.)); +#8622=DIRECTION('',(1.,0.,0.)); +#8623=DIRECTION('center_axis',(0.,1.,0.)); +#8624=DIRECTION('ref_axis',(-0.00788383940664948,0.,0.999968922055186)); +#8625=DIRECTION('',(0.0140217098337192,0.,0.999901690994339)); +#8626=DIRECTION('center_axis',(0.,1.,0.)); +#8627=DIRECTION('ref_axis',(0.987074761026713,0.,-0.160260463446411)); +#8628=DIRECTION('',(-0.999999955160986,0.,0.000299462896261661)); +#8629=DIRECTION('center_axis',(0.,1.,0.)); +#8630=DIRECTION('ref_axis',(0.00116438993721656,0.,-0.999999322097807)); +#8631=DIRECTION('',(-0.0135318773086722,0.,0.999908439956631)); +#8632=DIRECTION('center_axis',(0.,1.,0.)); +#8633=DIRECTION('ref_axis',(-0.998146101183514,0.,-0.0608634594165427)); +#8634=DIRECTION('',(1.,0.,0.)); +#8635=DIRECTION('',(0.,0.,-1.)); +#8636=DIRECTION('center_axis',(0.,1.,0.)); +#8637=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8638=DIRECTION('',(0.155313367011892,0.,-0.987865252970985)); +#8639=DIRECTION('',(0.407940062190055,0.,-0.913008710615827)); +#8640=DIRECTION('center_axis',(0.,1.,0.)); +#8641=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8642=DIRECTION('',(0.407940062190055,0.,-0.913008710615827)); +#8643=DIRECTION('',(0.62491591896026,0.,-0.780692061077897)); +#8644=DIRECTION('center_axis',(0.,1.,0.)); +#8645=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8646=DIRECTION('',(0.62491591896026,0.,-0.780692061077897)); +#8647=DIRECTION('',(0.805808814542335,0.,-0.59217577998925)); +#8648=DIRECTION('center_axis',(0.,1.,0.)); +#8649=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8650=DIRECTION('center_axis',(0.,1.,0.)); +#8651=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8652=DIRECTION('',(0.806464479117059,0.,0.591282541533615)); +#8653=DIRECTION('',(0.62491591896026,0.,0.780692061077897)); +#8654=DIRECTION('center_axis',(0.,1.,0.)); +#8655=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8656=DIRECTION('',(0.62491591896026,0.,0.780692061077897)); +#8657=DIRECTION('',(0.40498952323934,0.,0.914321325391884)); +#8658=DIRECTION('center_axis',(0.,1.,0.)); +#8659=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8660=DIRECTION('',(0.40498952323934,0.,0.914321325391884)); +#8661=DIRECTION('',(0.15707502833197,0.,0.987586672386029)); +#8662=DIRECTION('center_axis',(0.,1.,0.)); +#8663=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8664=DIRECTION('center_axis',(0.,1.,0.)); +#8665=DIRECTION('ref_axis',(1.,0.,0.)); +#8666=DIRECTION('center_axis',(0.,1.,0.)); +#8667=DIRECTION('ref_axis',(1.,0.,0.)); +#8668=DIRECTION('center_axis',(0.,1.,0.)); +#8669=DIRECTION('ref_axis',(1.,0.,0.)); +#8670=DIRECTION('center_axis',(0.,1.,0.)); +#8671=DIRECTION('ref_axis',(1.,0.,0.)); +#8672=DIRECTION('center_axis',(0.,1.,0.)); +#8673=DIRECTION('ref_axis',(1.,0.,0.)); +#8674=DIRECTION('center_axis',(0.,1.,0.)); +#8675=DIRECTION('ref_axis',(1.,0.,0.)); +#8676=DIRECTION('center_axis',(1.,0.,9.91333991274879E-17)); +#8677=DIRECTION('ref_axis',(-9.91333991274879E-17,0.,1.)); +#8678=DIRECTION('',(0.,-1.,0.)); +#8679=DIRECTION('',(0.,-1.,0.)); +#8680=DIRECTION('',(-9.91333991274879E-17,0.,1.)); +#8681=DIRECTION('',(0.,-1.,0.)); +#8682=DIRECTION('',(0.,-1.,0.)); +#8683=DIRECTION('',(-9.91333991274879E-17,0.,1.)); +#8684=DIRECTION('center_axis',(0.98758667238603,0.,-0.15707502833197)); +#8685=DIRECTION('ref_axis',(0.15707502833197,0.,0.987586672386029)); +#8686=DIRECTION('',(0.,-1.,0.)); +#8687=DIRECTION('',(0.,1.,0.)); +#8688=DIRECTION('',(0.,-1.,0.)); +#8689=DIRECTION('',(0.15707502833197,0.,0.987586672386029)); +#8690=DIRECTION('center_axis',(0.914321325391884,0.,-0.40498952323934)); +#8691=DIRECTION('ref_axis',(0.40498952323934,0.,0.914321325391884)); +#8692=DIRECTION('',(0.,1.,0.)); +#8693=DIRECTION('',(0.40498952323934,0.,0.914321325391884)); +#8694=DIRECTION('',(0.,-1.,0.)); +#8695=DIRECTION('',(0.,1.,0.)); +#8696=DIRECTION('center_axis',(0.780692061077897,0.,-0.62491591896026)); +#8697=DIRECTION('ref_axis',(0.62491591896026,0.,0.780692061077897)); +#8698=DIRECTION('',(0.,1.,0.)); +#8699=DIRECTION('',(0.62491591896026,0.,0.780692061077897)); +#8700=DIRECTION('',(0.,-1.,0.)); +#8701=DIRECTION('',(0.,1.,0.)); +#8702=DIRECTION('center_axis',(0.591282541533615,0.,-0.806464479117059)); +#8703=DIRECTION('ref_axis',(0.806464479117059,0.,0.591282541533615)); +#8704=DIRECTION('',(0.,1.,0.)); +#8705=DIRECTION('',(0.806464479117059,0.,0.591282541533615)); +#8706=DIRECTION('',(0.,1.,0.)); +#8707=DIRECTION('center_axis',(-0.59217577998925,0.,-0.805808814542336)); +#8708=DIRECTION('ref_axis',(0.805808814542335,0.,-0.59217577998925)); +#8709=DIRECTION('',(0.,-1.,0.)); +#8710=DIRECTION('',(0.,1.,0.)); +#8711=DIRECTION('',(0.,1.,0.)); +#8712=DIRECTION('',(0.805808814542335,0.,-0.59217577998925)); +#8713=DIRECTION('center_axis',(-0.780692061077897,0.,-0.62491591896026)); +#8714=DIRECTION('ref_axis',(0.62491591896026,0.,-0.780692061077897)); +#8715=DIRECTION('',(0.,1.,0.)); +#8716=DIRECTION('',(0.62491591896026,0.,-0.780692061077897)); +#8717=DIRECTION('',(0.,-1.,0.)); +#8718=DIRECTION('',(0.,1.,0.)); +#8719=DIRECTION('center_axis',(-0.913008710615827,0.,-0.407940062190055)); +#8720=DIRECTION('ref_axis',(0.407940062190055,0.,-0.913008710615827)); +#8721=DIRECTION('',(0.,1.,0.)); +#8722=DIRECTION('',(0.407940062190055,0.,-0.913008710615827)); +#8723=DIRECTION('',(0.,-1.,0.)); +#8724=DIRECTION('',(0.,1.,0.)); +#8725=DIRECTION('center_axis',(-0.987865252970986,0.,-0.155313367011892)); +#8726=DIRECTION('ref_axis',(0.155313367011892,0.,-0.987865252970985)); +#8727=DIRECTION('',(0.,1.,0.)); +#8728=DIRECTION('',(0.155313367011892,0.,-0.987865252970985)); +#8729=DIRECTION('',(0.,-1.,0.)); +#8730=DIRECTION('center_axis',(-1.,0.,0.)); +#8731=DIRECTION('ref_axis',(0.,0.,-1.)); +#8732=DIRECTION('',(0.,-1.,0.)); +#8733=DIRECTION('',(0.,0.,-1.)); +#8734=DIRECTION('',(0.,-1.,0.)); +#8735=DIRECTION('',(0.,-1.,0.)); +#8736=DIRECTION('',(0.,0.,-1.)); +#8737=DIRECTION('',(0.,-1.,0.)); +#8738=DIRECTION('center_axis',(-0.987821572083402,0.,0.155590943588229)); +#8739=DIRECTION('ref_axis',(-0.155590943588229,0.,-0.987821572083402)); +#8740=DIRECTION('',(0.,-1.,0.)); +#8741=DIRECTION('',(0.,1.,0.)); +#8742=DIRECTION('',(0.,-1.,0.)); +#8743=DIRECTION('',(-0.155590943588229,0.,-0.987821572083402)); +#8744=DIRECTION('center_axis',(-0.903850947933342,0.,0.42784747740287)); +#8745=DIRECTION('ref_axis',(-0.42784747740287,0.,-0.903850947933342)); +#8746=DIRECTION('',(0.,1.,0.)); +#8747=DIRECTION('',(-0.42784747740287,0.,-0.903850947933342)); +#8748=DIRECTION('',(0.,1.,0.)); +#8749=DIRECTION('center_axis',(0.157075028331961,0.,0.987586672386031)); +#8750=DIRECTION('ref_axis',(-0.987586672386031,0.,0.157075028331961)); +#8751=DIRECTION('',(0.,-1.,0.)); +#8752=DIRECTION('',(0.,1.,0.)); +#8753=DIRECTION('',(0.,-1.,0.)); +#8754=DIRECTION('',(-0.987586672386031,0.,0.157075028331961)); +#8755=DIRECTION('center_axis',(0.424101708487637,0.,0.905614565285843)); +#8756=DIRECTION('ref_axis',(-0.905614565285843,0.,0.424101708487637)); +#8757=DIRECTION('',(0.,1.,0.)); +#8758=DIRECTION('',(-0.905614565285843,0.,0.424101708487637)); +#8759=DIRECTION('',(0.,1.,0.)); +#8760=DIRECTION('center_axis',(0.905280625549371,0.,0.424814064038538)); +#8761=DIRECTION('ref_axis',(-0.424814064038538,0.,0.905280625549371)); +#8762=DIRECTION('',(0.,-1.,0.)); +#8763=DIRECTION('',(0.,1.,0.)); +#8764=DIRECTION('',(0.,1.,0.)); +#8765=DIRECTION('',(-0.424814064038538,0.,0.905280625549371)); +#8766=DIRECTION('center_axis',(0.987542007633531,0.,0.157355594622925)); +#8767=DIRECTION('ref_axis',(-0.157355594622925,0.,0.987542007633531)); +#8768=DIRECTION('',(0.,1.,0.)); +#8769=DIRECTION('',(-0.157355594622925,0.,0.987542007633531)); +#8770=DIRECTION('',(0.,-1.,0.)); +#8771=DIRECTION('center_axis',(0.355146418401728,0.,0.934810687517224)); +#8772=DIRECTION('ref_axis',(-0.934810687517224,0.,0.355146418401728)); +#8773=DIRECTION('',(0.,1.,0.)); +#8774=DIRECTION('',(-0.934810687517224,0.,0.355146418401728)); +#8775=DIRECTION('',(0.,1.,0.)); +#8776=DIRECTION('center_axis',(0.,0.,1.)); +#8777=DIRECTION('ref_axis',(-1.,0.,0.)); +#8778=DIRECTION('',(-1.,0.,0.)); +#8779=DIRECTION('',(0.,-1.,0.)); +#8780=DIRECTION('center_axis',(-0.353795951811255,0.,-0.935322631225166)); +#8781=DIRECTION('ref_axis',(0.935322631225166,0.,-0.353795951811255)); +#8782=DIRECTION('',(0.935322631225166,0.,-0.353795951811255)); +#8783=DIRECTION('center_axis',(-0.970391253450301,0.,-0.241538434264928)); +#8784=DIRECTION('ref_axis',(0.241538434264928,0.,-0.970391253450302)); +#8785=DIRECTION('',(0.,1.,0.)); +#8786=DIRECTION('',(0.241538434264928,0.,-0.970391253450302)); +#8787=DIRECTION('',(0.,-1.,0.)); +#8788=DIRECTION('',(-0.241538434264928,0.,0.970391253450302)); +#8789=DIRECTION('center_axis',(0.985562180150889,0.,0.169313877329139)); +#8790=DIRECTION('ref_axis',(-0.169313877329139,0.,0.985562180150889)); +#8791=DIRECTION('',(-0.169313877329139,0.,0.985562180150889)); +#8792=DIRECTION('center_axis',(0.,0.,1.)); +#8793=DIRECTION('ref_axis',(-1.,0.,0.)); +#8794=DIRECTION('',(-1.,0.,0.)); +#8795=DIRECTION('',(0.,-1.,0.)); +#8796=DIRECTION('center_axis',(1.,0.,-3.39125957834184E-16)); +#8797=DIRECTION('ref_axis',(3.39125957834184E-16,0.,1.)); +#8798=DIRECTION('',(3.39125957834184E-16,0.,1.)); +#8799=DIRECTION('',(0.,-1.,0.)); +#8800=DIRECTION('center_axis',(0.,0.,-1.)); +#8801=DIRECTION('ref_axis',(1.,0.,0.)); +#8802=DIRECTION('',(1.,0.,0.)); +#8803=DIRECTION('center_axis',(0.424101708487647,0.,-0.905614565285839)); +#8804=DIRECTION('ref_axis',(0.905614565285839,0.,0.424101708487647)); +#8805=DIRECTION('',(0.905614565285839,0.,0.424101708487647)); +#8806=DIRECTION('',(0.,1.,0.)); +#8807=DIRECTION('center_axis',(0.205739981219261,0.,-0.978606693277692)); +#8808=DIRECTION('ref_axis',(0.978606693277692,0.,0.205739981219261)); +#8809=DIRECTION('',(0.978606693277692,0.,0.205739981219261)); +#8810=DIRECTION('',(0.,-1.,0.)); +#8811=DIRECTION('center_axis',(-0.206100802286066,0.,-0.978530765636441)); +#8812=DIRECTION('ref_axis',(0.978530765636441,0.,-0.206100802286066)); +#8813=DIRECTION('',(0.,-1.,0.)); +#8814=DIRECTION('',(0.978530765636441,0.,-0.206100802286066)); +#8815=DIRECTION('',(0.,1.,0.)); +#8816=DIRECTION('center_axis',(-0.424101708487647,0.,-0.905614565285839)); +#8817=DIRECTION('ref_axis',(0.905614565285839,0.,-0.424101708487647)); +#8818=DIRECTION('',(0.905614565285839,0.,-0.424101708487647)); +#8819=DIRECTION('center_axis',(0.,0.,-1.)); +#8820=DIRECTION('ref_axis',(1.,0.,0.)); +#8821=DIRECTION('',(1.,0.,0.)); +#8822=DIRECTION('',(0.,-1.,0.)); +#8823=DIRECTION('center_axis',(-1.,0.,0.)); +#8824=DIRECTION('ref_axis',(0.,0.,-1.)); +#8825=DIRECTION('',(0.,0.,-1.)); +#8826=DIRECTION('',(0.,-1.,0.)); +#8827=DIRECTION('center_axis',(-0.0201768242085506,0.,0.999796427161478)); +#8828=DIRECTION('ref_axis',(-0.999796427161478,0.,-0.0201768242085506)); +#8829=DIRECTION('',(-0.999796427161478,0.,-0.0201768242085506)); +#8830=DIRECTION('center_axis',(-0.800000000000001,0.,0.599999999999999)); +#8831=DIRECTION('ref_axis',(-0.599999999999999,0.,-0.800000000000001)); +#8832=DIRECTION('',(-0.599999999999999,0.,-0.800000000000001)); +#8833=DIRECTION('',(0.,-1.,0.)); +#8834=DIRECTION('',(0.,1.,0.)); +#8835=DIRECTION('center_axis',(-0.593390579588654,0.,0.804914666319009)); +#8836=DIRECTION('ref_axis',(-0.804914666319009,0.,-0.593390579588654)); +#8837=DIRECTION('',(-0.804914666319009,0.,-0.593390579588654)); +#8838=DIRECTION('',(0.,1.,0.)); +#8839=DIRECTION('center_axis',(-0.424101708487649,0.,0.905614565285838)); +#8840=DIRECTION('ref_axis',(-0.905614565285838,0.,-0.424101708487649)); +#8841=DIRECTION('',(-0.905614565285838,0.,-0.424101708487649)); +#8842=DIRECTION('',(0.,1.,0.)); +#8843=DIRECTION('center_axis',(-0.157355594622914,0.,0.987542007633533)); +#8844=DIRECTION('ref_axis',(-0.987542007633533,0.,-0.157355594622914)); +#8845=DIRECTION('',(-0.987542007633533,0.,-0.157355594622914)); +#8846=DIRECTION('',(0.,1.,0.)); +#8847=DIRECTION('center_axis',(-0.189600795473788,0.,0.98186126227472)); +#8848=DIRECTION('ref_axis',(-0.98186126227472,0.,-0.189600795473788)); +#8849=DIRECTION('',(-0.98186126227472,0.,-0.189600795473788)); +#8850=DIRECTION('',(0.,-1.,0.)); +#8851=DIRECTION('center_axis',(0.592497489538281,0.,0.805572296501583)); +#8852=DIRECTION('ref_axis',(-0.805572296501583,0.,0.592497489538281)); +#8853=DIRECTION('',(-0.805572296501583,0.,0.592497489538281)); +#8854=DIRECTION('',(0.,-1.,0.)); +#8855=DIRECTION('',(0.,1.,0.)); +#8856=DIRECTION('center_axis',(0.800000000000003,0.,0.599999999999997)); +#8857=DIRECTION('ref_axis',(-0.599999999999997,0.,0.800000000000003)); +#8858=DIRECTION('',(-0.599999999999996,0.,0.800000000000003)); +#8859=DIRECTION('center_axis',(0.,-1.,0.)); +#8860=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8861=DIRECTION('',(0.,-1.,0.)); +#8862=DIRECTION('center_axis',(0.,1.,0.)); +#8863=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8864=DIRECTION('center_axis',(0.,-1.,0.)); +#8865=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8866=DIRECTION('center_axis',(0.,1.,0.)); +#8867=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8868=DIRECTION('center_axis',(0.,-1.,0.)); +#8869=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8870=DIRECTION('center_axis',(0.,1.,0.)); +#8871=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8872=DIRECTION('center_axis',(0.,-1.,0.)); +#8873=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8874=DIRECTION('',(0.,-1.,0.)); +#8875=DIRECTION('center_axis',(0.,1.,0.)); +#8876=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8877=DIRECTION('center_axis',(0.,-1.,0.)); +#8878=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8879=DIRECTION('center_axis',(0.,1.,0.)); +#8880=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8881=DIRECTION('center_axis',(0.,-1.,0.)); +#8882=DIRECTION('ref_axis',(-0.0165320579063765,0.,-0.999863336192192)); +#8883=DIRECTION('',(0.,-1.,0.)); +#8884=DIRECTION('center_axis',(0.,-1.,0.)); +#8885=DIRECTION('ref_axis',(-0.0165320579063765,0.,-0.999863336192192)); +#8886=DIRECTION('',(0.,-1.,0.)); +#8887=DIRECTION('center_axis',(-0.999999999999995,0.,1.00315289457332E-7)); +#8888=DIRECTION('ref_axis',(-1.00315289457332E-7,0.,-0.999999999999995)); +#8889=DIRECTION('',(-1.00315289457332E-7,0.,-0.999999999999995)); +#8890=DIRECTION('',(0.,-1.,0.)); +#8891=DIRECTION('center_axis',(0.,-1.,0.)); +#8892=DIRECTION('ref_axis',(-0.999999750989442,0.,0.000705706068274193)); +#8893=DIRECTION('center_axis',(0.,-1.,0.)); +#8894=DIRECTION('ref_axis',(-0.999999750989442,0.,0.000705706068274193)); +#8895=DIRECTION('',(0.,-1.,0.)); +#8896=DIRECTION('center_axis',(0.,0.,1.)); +#8897=DIRECTION('ref_axis',(-1.,0.,0.)); +#8898=DIRECTION('',(-1.,0.,0.)); +#8899=DIRECTION('',(0.,-1.,0.)); +#8900=DIRECTION('center_axis',(0.,-1.,0.)); +#8901=DIRECTION('ref_axis',(0.,0.,1.)); +#8902=DIRECTION('center_axis',(0.,-1.,0.)); +#8903=DIRECTION('ref_axis',(0.,0.,1.)); +#8904=DIRECTION('',(0.,-1.,0.)); +#8905=DIRECTION('center_axis',(0.999894670580265,0.,0.0145137088706901)); +#8906=DIRECTION('ref_axis',(-0.0145137088706901,0.,0.999894670580265)); +#8907=DIRECTION('',(-0.0145137088706901,0.,0.999894670580265)); +#8908=DIRECTION('',(0.,-1.,0.)); +#8909=DIRECTION('center_axis',(0.,-1.,0.)); +#8910=DIRECTION('ref_axis',(0.998159691170113,0.,0.0606401758175656)); +#8911=DIRECTION('center_axis',(0.,-1.,0.)); +#8912=DIRECTION('ref_axis',(0.998159691170113,0.,0.0606401758175656)); +#8913=DIRECTION('',(0.,-1.,0.)); +#8914=DIRECTION('center_axis',(1.99056855705328E-15,0.,-1.)); +#8915=DIRECTION('ref_axis',(1.,0.,1.99056855705328E-15)); +#8916=DIRECTION('',(1.,0.,1.99056855705328E-15)); +#8917=DIRECTION('center_axis',(0.,-1.,0.)); +#8918=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8919=DIRECTION('center_axis',(0.,1.,0.)); +#8920=DIRECTION('ref_axis',(0.0382610125150327,0.,0.999267779387149)); +#8921=DIRECTION('center_axis',(0.,-1.,0.)); +#8922=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8923=DIRECTION('center_axis',(0.,1.,0.)); +#8924=DIRECTION('ref_axis',(-0.998862283776536,0.,0.0476879235102857)); +#8925=DIRECTION('center_axis',(0.,0.,-1.)); +#8926=DIRECTION('ref_axis',(1.,0.,0.)); +#8927=DIRECTION('',(1.,0.,0.)); +#8928=DIRECTION('center_axis',(0.,-1.,0.)); +#8929=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8930=DIRECTION('center_axis',(0.,1.,0.)); +#8931=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8932=DIRECTION('center_axis',(0.,-1.,0.)); +#8933=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8934=DIRECTION('center_axis',(0.,1.,0.)); +#8935=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8936=DIRECTION('center_axis',(0.,-1.,0.)); +#8937=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8938=DIRECTION('center_axis',(0.,1.,0.)); +#8939=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8940=DIRECTION('center_axis',(0.,1.,0.)); +#8941=DIRECTION('ref_axis',(1.,0.,0.)); +#8942=DIRECTION('',(-1.,0.,0.)); +#8943=DIRECTION('center_axis',(0.,-1.,0.)); +#8944=DIRECTION('ref_axis',(-0.998146101183514,0.,-0.0608634594165427)); +#8945=DIRECTION('',(0.0135318773086722,0.,-0.999908439956631)); +#8946=DIRECTION('center_axis',(0.,-1.,0.)); +#8947=DIRECTION('ref_axis',(0.00116438993721656,0.,-0.999999322097807)); +#8948=DIRECTION('',(0.999999955160986,0.,-0.000299462896261661)); +#8949=DIRECTION('center_axis',(0.,-1.,0.)); +#8950=DIRECTION('ref_axis',(0.987074761026713,0.,-0.160260463446411)); +#8951=DIRECTION('',(0.0140217098337192,0.,0.999901690994339)); +#8952=DIRECTION('center_axis',(0.,-1.,0.)); +#8953=DIRECTION('ref_axis',(-0.00788383940664948,0.,0.999968922055186)); +#8954=DIRECTION('',(-1.,0.,0.)); +#8955=DIRECTION('center_axis',(0.,1.,0.)); +#8956=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#8957=DIRECTION('center_axis',(0.,1.,0.)); +#8958=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8959=DIRECTION('center_axis',(0.,1.,0.)); +#8960=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8961=DIRECTION('center_axis',(0.,1.,0.)); +#8962=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8963=DIRECTION('center_axis',(0.,1.,0.)); +#8964=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8965=DIRECTION('center_axis',(0.,-1.,0.)); +#8966=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8967=DIRECTION('center_axis',(0.,-1.,0.)); +#8968=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8969=DIRECTION('center_axis',(0.,-1.,0.)); +#8970=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8971=DIRECTION('center_axis',(0.,0.,1.)); +#8972=DIRECTION('ref_axis',(-1.,0.,0.)); +#8973=DIRECTION('',(0.,-1.,0.)); +#8974=DIRECTION('center_axis',(0.,-1.,0.)); +#8975=DIRECTION('ref_axis',(-0.00788383940664948,0.,0.999968922055186)); +#8976=DIRECTION('',(0.,-1.,0.)); +#8977=DIRECTION('center_axis',(0.999901690994339,0.,-0.0140217098337192)); +#8978=DIRECTION('ref_axis',(0.0140217098337192,0.,0.999901690994339)); +#8979=DIRECTION('',(0.,-1.,0.)); +#8980=DIRECTION('center_axis',(0.,-1.,0.)); +#8981=DIRECTION('ref_axis',(0.987074761026713,0.,-0.160260463446411)); +#8982=DIRECTION('',(0.,-1.,0.)); +#8983=DIRECTION('center_axis',(-0.000299462896261661,0.,-0.999999955160986)); +#8984=DIRECTION('ref_axis',(0.999999955160986,0.,-0.000299462896261661)); +#8985=DIRECTION('',(0.,-1.,0.)); +#8986=DIRECTION('center_axis',(0.,-1.,0.)); +#8987=DIRECTION('ref_axis',(0.00116438993721656,0.,-0.999999322097807)); +#8988=DIRECTION('',(0.,-1.,0.)); +#8989=DIRECTION('center_axis',(-0.999908439956631,0.,-0.0135318773086722)); +#8990=DIRECTION('ref_axis',(0.0135318773086722,0.,-0.999908439956631)); +#8991=DIRECTION('',(0.,-1.,0.)); +#8992=DIRECTION('center_axis',(0.,-1.,0.)); +#8993=DIRECTION('ref_axis',(-0.998146101183514,0.,-0.0608634594165427)); +#8994=DIRECTION('',(0.,-1.,0.)); +#8995=DIRECTION('center_axis',(0.,0.,1.)); +#8996=DIRECTION('ref_axis',(-1.,0.,0.)); +#8997=DIRECTION('center_axis',(0.,-1.,0.)); +#8998=DIRECTION('ref_axis',(-0.0774419844136294,0.,-0.996996860100411)); +#8999=DIRECTION('center_axis',(0.,-1.,0.)); +#9000=DIRECTION('ref_axis',(0.998557181746535,0.,-0.0536987409760945)); +#9001=DIRECTION('center_axis',(0.,1.,0.)); +#9002=DIRECTION('ref_axis',(1.,0.,0.)); +#9003=DIRECTION('center_axis',(0.,1.,0.)); +#9004=DIRECTION('ref_axis',(1.,0.,0.)); +#9005=DIRECTION('',(0.133368578730021,0.,0.99106650745928)); +#9006=DIRECTION('',(0.,0.,-1.)); +#9007=DIRECTION('',(-0.133368578730019,0.,0.99106650745928)); +#9008=DIRECTION('center_axis',(0.99106650745928,0.,0.133368578730019)); +#9009=DIRECTION('ref_axis',(0.133368578730019,0.,-0.99106650745928)); +#9010=DIRECTION('',(0.,1.,0.)); +#9011=DIRECTION('',(0.133368578730019,0.,-0.99106650745928)); +#9012=DIRECTION('',(0.,1.,0.)); +#9013=DIRECTION('center_axis',(-1.,0.,0.)); +#9014=DIRECTION('ref_axis',(0.,0.,1.)); +#9015=DIRECTION('',(0.,1.,0.)); +#9016=DIRECTION('',(0.,0.,1.)); +#9017=DIRECTION('center_axis',(0.99106650745928,0.,-0.133368578730021)); +#9018=DIRECTION('ref_axis',(-0.133368578730021,0.,-0.99106650745928)); +#9019=DIRECTION('',(-0.133368578730021,0.,-0.99106650745928)); +#9020=DIRECTION('center_axis',(0.,1.,0.)); +#9021=DIRECTION('ref_axis',(1.,0.,0.)); +#9022=DIRECTION('center_axis',(0.,1.,0.)); +#9023=DIRECTION('ref_axis',(1.,0.,0.)); +#9024=DIRECTION('',(0.131108987829164,0.,0.991367960603132)); +#9025=DIRECTION('',(-0.131108987829172,0.,0.99136796060313)); +#9026=DIRECTION('',(0.,0.,-1.)); +#9027=DIRECTION('center_axis',(-0.991367960603132,0.,0.131108987829164)); +#9028=DIRECTION('ref_axis',(0.131108987829164,0.,0.991367960603132)); +#9029=DIRECTION('',(-0.131108987829164,0.,-0.991367960603132)); +#9030=DIRECTION('',(0.,1.,0.)); +#9031=DIRECTION('',(0.,1.,0.)); +#9032=DIRECTION('center_axis',(1.,0.,0.)); +#9033=DIRECTION('ref_axis',(0.,0.,-1.)); +#9034=DIRECTION('',(0.,0.,1.)); +#9035=DIRECTION('',(0.,1.,0.)); +#9036=DIRECTION('center_axis',(-0.99136796060313,0.,-0.131108987829172)); +#9037=DIRECTION('ref_axis',(-0.131108987829172,0.,0.99136796060313)); +#9038=DIRECTION('',(0.131108987829172,0.,-0.99136796060313)); +#9039=DIRECTION('center_axis',(0.,1.,0.)); +#9040=DIRECTION('ref_axis',(1.,0.,0.)); +#9041=DIRECTION('center_axis',(0.,1.,0.)); +#9042=DIRECTION('ref_axis',(1.,0.,0.)); +#9043=DIRECTION('',(0.240549158891154,0.,0.97063695692919)); +#9044=DIRECTION('',(0.,0.,-1.)); +#9045=DIRECTION('',(-0.244514180325959,0.,-0.969645716547814)); +#9046=DIRECTION('center_axis',(-0.969645716547814,0.,0.244514180325959)); +#9047=DIRECTION('ref_axis',(0.244514180325959,0.,0.969645716547814)); +#9048=DIRECTION('',(0.,1.,0.)); +#9049=DIRECTION('',(0.244514180325959,0.,0.969645716547814)); +#9050=DIRECTION('',(0.,1.,0.)); +#9051=DIRECTION('center_axis',(-1.,0.,0.)); +#9052=DIRECTION('ref_axis',(0.,0.,1.)); +#9053=DIRECTION('',(0.,1.,0.)); +#9054=DIRECTION('',(0.,0.,1.)); +#9055=DIRECTION('center_axis',(0.97063695692919,0.,-0.240549158891154)); +#9056=DIRECTION('ref_axis',(-0.240549158891154,0.,-0.97063695692919)); +#9057=DIRECTION('',(-0.240549158891154,0.,-0.97063695692919)); +#9058=DIRECTION('center_axis',(0.,1.,0.)); +#9059=DIRECTION('ref_axis',(1.,0.,0.)); +#9060=DIRECTION('center_axis',(0.,1.,0.)); +#9061=DIRECTION('ref_axis',(1.,0.,0.)); +#9062=DIRECTION('',(-1.,0.,0.)); +#9063=DIRECTION('',(0.991407364484871,0.,-0.130810693925086)); +#9064=DIRECTION('',(0.990096728838243,0.,0.14038684961139)); +#9065=DIRECTION('center_axis',(0.,0.,-1.)); +#9066=DIRECTION('ref_axis',(-1.,0.,0.)); +#9067=DIRECTION('',(1.,0.,0.)); +#9068=DIRECTION('',(0.,1.,0.)); +#9069=DIRECTION('',(0.,1.,0.)); +#9070=DIRECTION('center_axis',(-0.14038684961139,0.,0.990096728838243)); +#9071=DIRECTION('ref_axis',(0.990096728838243,0.,0.14038684961139)); +#9072=DIRECTION('',(-0.990096728838243,0.,-0.14038684961139)); +#9073=DIRECTION('',(0.,1.,0.)); +#9074=DIRECTION('center_axis',(0.130810693925086,0.,0.991407364484871)); +#9075=DIRECTION('ref_axis',(0.991407364484871,0.,-0.130810693925086)); +#9076=DIRECTION('',(-0.991407364484871,0.,0.130810693925086)); +#9077=DIRECTION('center_axis',(0.,1.,0.)); +#9078=DIRECTION('ref_axis',(1.,0.,0.)); +#9079=DIRECTION('center_axis',(0.,1.,0.)); +#9080=DIRECTION('ref_axis',(1.,0.,0.)); +#9081=DIRECTION('',(0.165789415492918,0.,-0.98616117836311)); +#9082=DIRECTION('',(0.480212933212276,0.,-0.877151947370387)); +#9083=DIRECTION('center_axis',(0.,1.,0.)); +#9084=DIRECTION('ref_axis',(1.,0.,0.)); +#9085=DIRECTION('',(-0.245520938932363,0.,-0.969391287636613)); +#9086=DIRECTION('',(3.90942719093321E-15,0.,1.)); +#9087=DIRECTION('',(0.245522578997882,0.,0.969390872250316)); +#9088=DIRECTION('',(0.479046129053983,0.,0.877789727804099)); +#9089=DIRECTION('center_axis',(0.,1.,0.)); +#9090=DIRECTION('ref_axis',(1.,0.,0.)); +#9091=DIRECTION('',(-0.166258058416704,0.,-0.986082277506044)); +#9092=DIRECTION('',(-0.479046129053983,0.,-0.877789727804099)); +#9093=DIRECTION('center_axis',(0.,1.,0.)); +#9094=DIRECTION('ref_axis',(1.,0.,0.)); +#9095=DIRECTION('',(0.244519045242736,0.,-0.969644489755694)); +#9096=DIRECTION('center_axis',(0.,1.,0.)); +#9097=DIRECTION('ref_axis',(1.,0.,0.)); +#9098=DIRECTION('',(-0.480212933212276,0.,0.877151947370387)); +#9099=DIRECTION('',(-0.244519045242736,0.,0.969644489755694)); +#9100=DIRECTION('center_axis',(0.,1.,0.)); +#9101=DIRECTION('ref_axis',(1.,0.,0.)); +#9102=DIRECTION('',(0.166258058416704,0.,0.986082277506044)); +#9103=DIRECTION('',(-0.165789415492918,0.,0.98616117836311)); +#9104=DIRECTION('center_axis',(-0.877151947370387,0.,-0.480212933212276)); +#9105=DIRECTION('ref_axis',(-0.480212933212276,0.,0.877151947370387)); +#9106=DIRECTION('',(0.,1.,0.)); +#9107=DIRECTION('',(-0.480212933212276,0.,0.877151947370387)); +#9108=DIRECTION('',(0.,1.,0.)); +#9109=DIRECTION('',(0.,1.,0.)); +#9110=DIRECTION('center_axis',(-0.969644489755694,0.,-0.244519045242736)); +#9111=DIRECTION('ref_axis',(-0.244519045242736,0.,0.969644489755694)); +#9112=DIRECTION('',(0.,1.,0.)); +#9113=DIRECTION('',(-0.244519045242736,0.,0.969644489755694)); +#9114=DIRECTION('',(0.,1.,0.)); +#9115=DIRECTION('center_axis',(0.969644489755694,0.,0.244519045242736)); +#9116=DIRECTION('ref_axis',(0.244519045242736,0.,-0.969644489755694)); +#9117=DIRECTION('',(0.,1.,0.)); +#9118=DIRECTION('',(0.244519045242736,0.,-0.969644489755694)); +#9119=DIRECTION('center_axis',(0.877151947370387,0.,0.480212933212276)); +#9120=DIRECTION('ref_axis',(0.480212933212276,0.,-0.877151947370387)); +#9121=DIRECTION('',(0.,1.,0.)); +#9122=DIRECTION('',(0.480212933212276,0.,-0.877151947370387)); +#9123=DIRECTION('',(0.,1.,0.)); +#9124=DIRECTION('center_axis',(0.98616117836311,0.,0.165789415492918)); +#9125=DIRECTION('ref_axis',(0.165789415492918,0.,-0.98616117836311)); +#9126=DIRECTION('',(0.,1.,0.)); +#9127=DIRECTION('',(0.165789415492918,0.,-0.98616117836311)); +#9128=DIRECTION('center_axis',(0.986082277506045,0.,-0.166258058416704)); +#9129=DIRECTION('ref_axis',(-0.166258058416704,0.,-0.986082277506044)); +#9130=DIRECTION('',(0.,1.,0.)); +#9131=DIRECTION('',(-0.166258058416704,0.,-0.986082277506044)); +#9132=DIRECTION('',(0.,1.,0.)); +#9133=DIRECTION('center_axis',(0.877789727804099,0.,-0.479046129053983)); +#9134=DIRECTION('ref_axis',(-0.479046129053983,0.,-0.877789727804099)); +#9135=DIRECTION('',(0.,1.,0.)); +#9136=DIRECTION('',(-0.479046129053983,0.,-0.877789727804099)); +#9137=DIRECTION('center_axis',(0.969390872250316,0.,-0.245522578997882)); +#9138=DIRECTION('ref_axis',(-0.245522578997882,0.,-0.969390872250316)); +#9139=DIRECTION('',(0.,1.,0.)); +#9140=DIRECTION('',(-0.245522578997882,0.,-0.969390872250316)); +#9141=DIRECTION('center_axis',(1.,0.,-3.90942719093321E-15)); +#9142=DIRECTION('ref_axis',(-3.90942719093321E-15,0.,-1.)); +#9143=DIRECTION('',(0.,1.,0.)); +#9144=DIRECTION('',(-3.90942719093321E-15,0.,-1.)); +#9145=DIRECTION('center_axis',(-0.969391287636613,0.,0.245520938932363)); +#9146=DIRECTION('ref_axis',(0.245520938932363,0.,0.969391287636613)); +#9147=DIRECTION('',(0.,1.,0.)); +#9148=DIRECTION('',(0.245520938932363,0.,0.969391287636613)); +#9149=DIRECTION('',(0.,1.,0.)); +#9150=DIRECTION('center_axis',(-0.877789727804099,0.,0.479046129053983)); +#9151=DIRECTION('ref_axis',(0.479046129053983,0.,0.877789727804099)); +#9152=DIRECTION('',(0.,1.,0.)); +#9153=DIRECTION('',(0.479046129053983,0.,0.877789727804099)); +#9154=DIRECTION('center_axis',(-0.986082277506045,0.,0.166258058416704)); +#9155=DIRECTION('ref_axis',(0.166258058416704,0.,0.986082277506044)); +#9156=DIRECTION('',(0.,1.,0.)); +#9157=DIRECTION('',(0.166258058416704,0.,0.986082277506044)); +#9158=DIRECTION('',(0.,1.,0.)); +#9159=DIRECTION('center_axis',(-0.98616117836311,0.,-0.165789415492918)); +#9160=DIRECTION('ref_axis',(-0.165789415492918,0.,0.98616117836311)); +#9161=DIRECTION('',(-0.165789415492918,0.,0.98616117836311)); +#9162=DIRECTION('center_axis',(0.,1.,0.)); +#9163=DIRECTION('ref_axis',(1.,0.,0.)); +#9164=DIRECTION('center_axis',(0.,1.,0.)); +#9165=DIRECTION('ref_axis',(1.,0.,0.)); +#9166=DIRECTION('center_axis',(0.,1.,0.)); +#9167=DIRECTION('ref_axis',(1.,0.,0.)); +#9168=DIRECTION('center_axis',(0.,1.,0.)); +#9169=DIRECTION('ref_axis',(1.,0.,0.)); +#9170=DIRECTION('center_axis',(0.,1.,0.)); +#9171=DIRECTION('ref_axis',(1.,0.,0.)); +#9172=DIRECTION('center_axis',(0.,1.,0.)); +#9173=DIRECTION('ref_axis',(1.,0.,0.)); +#9174=DIRECTION('center_axis',(0.,1.,0.)); +#9175=DIRECTION('ref_axis',(1.,0.,0.)); +#9176=DIRECTION('',(-1.,0.,0.)); +#9177=DIRECTION('',(0.990096728838243,0.,-0.14038684961139)); +#9178=DIRECTION('',(0.991407364484871,0.,0.130810693925085)); +#9179=DIRECTION('center_axis',(0.,0.,-1.)); +#9180=DIRECTION('ref_axis',(-1.,0.,0.)); +#9181=DIRECTION('',(1.,0.,0.)); +#9182=DIRECTION('',(0.,1.,0.)); +#9183=DIRECTION('',(0.,1.,0.)); +#9184=DIRECTION('center_axis',(-0.130810693925085,0.,0.991407364484871)); +#9185=DIRECTION('ref_axis',(0.991407364484871,0.,0.130810693925085)); +#9186=DIRECTION('',(-0.991407364484871,0.,-0.130810693925085)); +#9187=DIRECTION('',(0.,1.,0.)); +#9188=DIRECTION('center_axis',(0.14038684961139,0.,0.990096728838243)); +#9189=DIRECTION('ref_axis',(0.990096728838243,0.,-0.14038684961139)); +#9190=DIRECTION('',(-0.990096728838243,0.,0.14038684961139)); +#9191=DIRECTION('center_axis',(0.,1.,0.)); +#9192=DIRECTION('ref_axis',(1.,0.,0.)); +#9193=DIRECTION('center_axis',(0.,1.,0.)); +#9194=DIRECTION('ref_axis',(1.,0.,0.)); +#9195=DIRECTION('',(0.444094428697454,0.,-0.895979987722874)); +#9196=DIRECTION('',(-0.713228571151902,0.,0.700931526821712)); +#9197=DIRECTION('',(0.718988376049079,0.,-0.695022096847509)); +#9198=DIRECTION('center_axis',(0.,1.,0.)); +#9199=DIRECTION('ref_axis',(1.,0.,0.)); +#9200=DIRECTION('',(0.713228571151902,0.,-0.700931526821712)); +#9201=DIRECTION('',(0.447213595499977,0.,-0.894427190999906)); +#9202=DIRECTION('',(2.76060428833416E-14,0.,-1.)); +#9203=DIRECTION('',(-0.444094428697454,0.,0.895979987722874)); +#9204=DIRECTION('center_axis',(-0.695022096847509,0.,-0.718988376049079)); +#9205=DIRECTION('ref_axis',(-0.718988376049079,0.,0.695022096847509)); +#9206=DIRECTION('',(0.,1.,0.)); +#9207=DIRECTION('',(-0.718988376049079,0.,0.695022096847509)); +#9208=DIRECTION('',(0.,1.,0.)); +#9209=DIRECTION('center_axis',(0.700931526821712,0.,0.713228571151902)); +#9210=DIRECTION('ref_axis',(0.713228571151902,0.,-0.700931526821712)); +#9211=DIRECTION('',(0.,1.,0.)); +#9212=DIRECTION('',(0.713228571151902,0.,-0.700931526821712)); +#9213=DIRECTION('center_axis',(0.895979987722874,0.,0.444094428697454)); +#9214=DIRECTION('ref_axis',(0.444094428697454,0.,-0.895979987722874)); +#9215=DIRECTION('',(0.,1.,0.)); +#9216=DIRECTION('',(0.444094428697454,0.,-0.895979987722874)); +#9217=DIRECTION('center_axis',(-1.,0.,-2.76060428833416E-14)); +#9218=DIRECTION('ref_axis',(-2.76060428833416E-14,0.,1.)); +#9219=DIRECTION('',(0.,1.,0.)); +#9220=DIRECTION('',(-2.76060428833416E-14,0.,1.)); +#9221=DIRECTION('center_axis',(-0.894427190999906,0.,-0.447213595499977)); +#9222=DIRECTION('ref_axis',(-0.447213595499977,0.,0.894427190999906)); +#9223=DIRECTION('',(0.,1.,0.)); +#9224=DIRECTION('',(-0.447213595499977,0.,0.894427190999906)); +#9225=DIRECTION('center_axis',(-0.700931526821712,0.,-0.713228571151902)); +#9226=DIRECTION('ref_axis',(-0.713228571151902,0.,0.700931526821712)); +#9227=DIRECTION('',(0.,1.,0.)); +#9228=DIRECTION('',(-0.713228571151902,0.,0.700931526821712)); +#9229=DIRECTION('center_axis',(-0.895979987722874,0.,-0.444094428697454)); +#9230=DIRECTION('ref_axis',(-0.444094428697454,0.,0.895979987722874)); +#9231=DIRECTION('',(-0.444094428697454,0.,0.895979987722874)); +#9232=DIRECTION('center_axis',(0.,1.,0.)); +#9233=DIRECTION('ref_axis',(1.,0.,0.)); +#9234=DIRECTION('center_axis',(0.,1.,0.)); +#9235=DIRECTION('ref_axis',(1.,0.,0.)); +#9236=DIRECTION('center_axis',(0.,1.,0.)); +#9237=DIRECTION('ref_axis',(1.,0.,0.)); +#9238=DIRECTION('',(0.131108987829163,0.,0.991367960603132)); +#9239=DIRECTION('',(-0.14038684961138,0.,0.990096728838244)); +#9240=DIRECTION('',(0.,0.,-1.)); +#9241=DIRECTION('center_axis',(-0.991367960603132,0.,0.131108987829163)); +#9242=DIRECTION('ref_axis',(0.131108987829163,0.,0.991367960603132)); +#9243=DIRECTION('',(-0.131108987829163,0.,-0.991367960603132)); +#9244=DIRECTION('',(0.,1.,0.)); +#9245=DIRECTION('',(0.,1.,0.)); +#9246=DIRECTION('center_axis',(1.,0.,0.)); +#9247=DIRECTION('ref_axis',(0.,0.,-1.)); +#9248=DIRECTION('',(0.,0.,1.)); +#9249=DIRECTION('',(0.,1.,0.)); +#9250=DIRECTION('center_axis',(-0.990096728838244,0.,-0.14038684961138)); +#9251=DIRECTION('ref_axis',(-0.14038684961138,0.,0.990096728838244)); +#9252=DIRECTION('',(0.14038684961138,0.,-0.990096728838244)); +#9253=DIRECTION('center_axis',(0.,1.,0.)); +#9254=DIRECTION('ref_axis',(1.,0.,0.)); +#9255=DIRECTION('center_axis',(0.,1.,0.)); +#9256=DIRECTION('ref_axis',(1.,0.,0.)); +#9257=DIRECTION('',(-0.129339184067772,0.,-0.991600411186221)); +#9258=DIRECTION('',(0.,0.,1.)); +#9259=DIRECTION('',(0.129894474737791,0.,0.991527823831784)); +#9260=DIRECTION('center_axis',(0.991527823831784,0.,-0.129894474737791)); +#9261=DIRECTION('ref_axis',(-0.129894474737791,0.,-0.991527823831784)); +#9262=DIRECTION('',(0.,1.,0.)); +#9263=DIRECTION('',(-0.129894474737791,0.,-0.991527823831784)); +#9264=DIRECTION('',(0.,1.,0.)); +#9265=DIRECTION('center_axis',(1.,0.,0.)); +#9266=DIRECTION('ref_axis',(0.,0.,-1.)); +#9267=DIRECTION('',(0.,1.,0.)); +#9268=DIRECTION('',(0.,0.,-1.)); +#9269=DIRECTION('center_axis',(-0.991600411186221,0.,0.129339184067772)); +#9270=DIRECTION('ref_axis',(0.129339184067772,0.,0.991600411186221)); +#9271=DIRECTION('',(0.129339184067772,0.,0.991600411186221)); +#9272=DIRECTION('center_axis',(0.,1.,0.)); +#9273=DIRECTION('ref_axis',(1.,0.,0.)); +#9274=DIRECTION('center_axis',(0.,1.,0.)); +#9275=DIRECTION('ref_axis',(1.,0.,0.)); +#9276=DIRECTION('',(-0.989751568037291,0.,0.14279997747802)); +#9277=DIRECTION('',(-0.991107268939305,0.,-0.13306532777426)); +#9278=DIRECTION('',(1.,0.,4.59966221675129E-16)); +#9279=DIRECTION('center_axis',(-0.14279997747802,0.,-0.989751568037291)); +#9280=DIRECTION('ref_axis',(-0.989751568037291,0.,0.14279997747802)); +#9281=DIRECTION('',(0.989751568037291,0.,-0.14279997747802)); +#9282=DIRECTION('',(0.,1.,0.)); +#9283=DIRECTION('',(0.,1.,0.)); +#9284=DIRECTION('center_axis',(-4.59966221675129E-16,0.,1.)); +#9285=DIRECTION('ref_axis',(1.,0.,4.59966221675129E-16)); +#9286=DIRECTION('',(-1.,0.,-4.59966221675129E-16)); +#9287=DIRECTION('',(0.,1.,0.)); +#9288=DIRECTION('center_axis',(0.13306532777426,0.,-0.991107268939305)); +#9289=DIRECTION('ref_axis',(-0.991107268939305,0.,-0.13306532777426)); +#9290=DIRECTION('',(0.991107268939305,0.,0.13306532777426)); +#9291=DIRECTION('center_axis',(0.,1.,0.)); +#9292=DIRECTION('ref_axis',(1.,0.,0.)); +#9293=DIRECTION('center_axis',(0.,1.,0.)); +#9294=DIRECTION('ref_axis',(1.,0.,0.)); +#9295=DIRECTION('',(1.82970284226826E-14,0.,-1.)); +#9296=DIRECTION('',(-0.447213595499977,0.,0.894427190999906)); +#9297=DIRECTION('',(0.,0.,1.)); +#9298=DIRECTION('',(0.447213595499938,0.,-0.894427190999926)); +#9299=DIRECTION('center_axis',(-0.894427190999926,0.,-0.447213595499939)); +#9300=DIRECTION('ref_axis',(-0.447213595499938,0.,0.894427190999926)); +#9301=DIRECTION('',(0.,1.,0.)); +#9302=DIRECTION('',(-0.447213595499938,0.,0.894427190999926)); +#9303=DIRECTION('',(0.,1.,0.)); +#9304=DIRECTION('center_axis',(1.,0.,0.)); +#9305=DIRECTION('ref_axis',(0.,0.,-1.)); +#9306=DIRECTION('',(0.,1.,0.)); +#9307=DIRECTION('',(0.,0.,-1.)); +#9308=DIRECTION('center_axis',(0.894427190999906,0.,0.447213595499977)); +#9309=DIRECTION('ref_axis',(0.447213595499977,0.,-0.894427190999906)); +#9310=DIRECTION('',(0.,1.,0.)); +#9311=DIRECTION('',(0.447213595499977,0.,-0.894427190999906)); +#9312=DIRECTION('center_axis',(-1.,0.,-1.82970284226826E-14)); +#9313=DIRECTION('ref_axis',(-1.82970284226826E-14,0.,1.)); +#9314=DIRECTION('',(-1.82970284226826E-14,0.,1.)); +#9315=DIRECTION('center_axis',(0.,1.,0.)); +#9316=DIRECTION('ref_axis',(1.,0.,0.)); +#9317=DIRECTION('center_axis',(0.,1.,0.)); +#9318=DIRECTION('ref_axis',(1.,0.,0.)); +#9319=DIRECTION('',(1.,0.,0.)); +#9320=DIRECTION('',(-0.991107268939305,0.,0.13306532777426)); +#9321=DIRECTION('',(-0.989751568037292,0.,-0.142799977478017)); +#9322=DIRECTION('center_axis',(0.,0.,1.)); +#9323=DIRECTION('ref_axis',(1.,0.,0.)); +#9324=DIRECTION('',(-1.,0.,0.)); +#9325=DIRECTION('',(0.,1.,0.)); +#9326=DIRECTION('',(0.,1.,0.)); +#9327=DIRECTION('center_axis',(0.142799977478017,0.,-0.989751568037292)); +#9328=DIRECTION('ref_axis',(-0.989751568037292,0.,-0.142799977478017)); +#9329=DIRECTION('',(0.989751568037292,0.,0.142799977478017)); +#9330=DIRECTION('',(0.,1.,0.)); +#9331=DIRECTION('center_axis',(-0.13306532777426,0.,-0.991107268939305)); +#9332=DIRECTION('ref_axis',(-0.991107268939305,0.,0.13306532777426)); +#9333=DIRECTION('',(0.991107268939305,0.,-0.13306532777426)); +#9334=DIRECTION('center_axis',(0.,1.,0.)); +#9335=DIRECTION('ref_axis',(1.,0.,0.)); +#9336=DIRECTION('center_axis',(0.,1.,0.)); +#9337=DIRECTION('ref_axis',(1.,0.,0.)); +#9338=DIRECTION('',(0.909971590258522,0.,0.414670598092481)); +#9339=DIRECTION('',(0.935828766419945,0.,0.352454989950381)); +#9340=DIRECTION('center_axis',(0.,1.,0.)); +#9341=DIRECTION('ref_axis',(1.,0.,0.)); +#9342=DIRECTION('',(-0.91046481231784,0.,0.413586539349433)); +#9343=DIRECTION('',(-0.934810687517217,0.,0.355146418401746)); +#9344=DIRECTION('',(0.936161312622575,0.,-0.351570756390199)); +#9345=DIRECTION('center_axis',(0.,1.,0.)); +#9346=DIRECTION('ref_axis',(1.,0.,0.)); +#9347=DIRECTION('',(0.91046481231784,0.,-0.413586539349433)); +#9348=DIRECTION('center_axis',(0.,1.,0.)); +#9349=DIRECTION('ref_axis',(1.,0.,0.)); +#9350=DIRECTION('',(-0.986548505495269,0.,0.16346879306171)); +#9351=DIRECTION('',(-0.91046481231784,0.,0.413586539349433)); +#9352=DIRECTION('center_axis',(0.,1.,0.)); +#9353=DIRECTION('ref_axis',(1.,0.,0.)); +#9354=DIRECTION('',(0.986548505495269,0.,-0.16346879306171)); +#9355=DIRECTION('',(0.986624816923064,0.,0.163007578448153)); +#9356=DIRECTION('center_axis',(0.,1.,0.)); +#9357=DIRECTION('ref_axis',(1.,0.,0.)); +#9358=DIRECTION('',(-0.909971590258522,0.,-0.414670598092481)); +#9359=DIRECTION('',(-0.986624816923064,0.,-0.163007578448153)); +#9360=DIRECTION('center_axis',(0.352454989950381,0.,-0.935828766419945)); +#9361=DIRECTION('ref_axis',(-0.935828766419945,0.,-0.352454989950381)); +#9362=DIRECTION('',(0.,1.,0.)); +#9363=DIRECTION('',(-0.935828766419945,0.,-0.352454989950381)); +#9364=DIRECTION('',(0.,1.,0.)); +#9365=DIRECTION('center_axis',(0.414670598092481,0.,-0.909971590258522)); +#9366=DIRECTION('ref_axis',(-0.909971590258522,0.,-0.414670598092481)); +#9367=DIRECTION('',(0.,1.,0.)); +#9368=DIRECTION('',(-0.909971590258522,0.,-0.414670598092481)); +#9369=DIRECTION('',(0.,1.,0.)); +#9370=DIRECTION('center_axis',(0.163007578448153,0.,-0.986624816923064)); +#9371=DIRECTION('ref_axis',(-0.986624816923064,0.,-0.163007578448153)); +#9372=DIRECTION('',(0.,1.,0.)); +#9373=DIRECTION('',(-0.986624816923064,0.,-0.163007578448153)); +#9374=DIRECTION('center_axis',(-0.16346879306171,0.,-0.986548505495269)); +#9375=DIRECTION('ref_axis',(-0.986548505495269,0.,0.16346879306171)); +#9376=DIRECTION('',(0.,1.,0.)); +#9377=DIRECTION('',(-0.986548505495269,0.,0.16346879306171)); +#9378=DIRECTION('',(0.,1.,0.)); +#9379=DIRECTION('center_axis',(-0.413586539349433,0.,-0.91046481231784)); +#9380=DIRECTION('ref_axis',(-0.91046481231784,0.,0.413586539349433)); +#9381=DIRECTION('',(0.,1.,0.)); +#9382=DIRECTION('',(-0.91046481231784,0.,0.413586539349433)); +#9383=DIRECTION('',(0.,1.,0.)); +#9384=DIRECTION('center_axis',(-0.351570756390199,0.,-0.936161312622575)); +#9385=DIRECTION('ref_axis',(-0.936161312622575,0.,0.351570756390199)); +#9386=DIRECTION('',(0.,1.,0.)); +#9387=DIRECTION('',(-0.936161312622575,0.,0.351570756390199)); +#9388=DIRECTION('center_axis',(0.355146418401746,0.,0.934810687517217)); +#9389=DIRECTION('ref_axis',(0.934810687517217,0.,-0.355146418401746)); +#9390=DIRECTION('',(0.,1.,0.)); +#9391=DIRECTION('',(0.934810687517217,0.,-0.355146418401746)); +#9392=DIRECTION('center_axis',(0.413586539349433,0.,0.91046481231784)); +#9393=DIRECTION('ref_axis',(0.91046481231784,0.,-0.413586539349433)); +#9394=DIRECTION('',(0.,1.,0.)); +#9395=DIRECTION('',(0.91046481231784,0.,-0.413586539349433)); +#9396=DIRECTION('',(0.,1.,0.)); +#9397=DIRECTION('center_axis',(0.413586539349433,0.,0.91046481231784)); +#9398=DIRECTION('ref_axis',(0.91046481231784,0.,-0.413586539349433)); +#9399=DIRECTION('',(0.,1.,0.)); +#9400=DIRECTION('',(0.91046481231784,0.,-0.413586539349433)); +#9401=DIRECTION('center_axis',(0.16346879306171,0.,0.986548505495269)); +#9402=DIRECTION('ref_axis',(0.986548505495269,0.,-0.16346879306171)); +#9403=DIRECTION('',(0.,1.,0.)); +#9404=DIRECTION('',(0.986548505495269,0.,-0.16346879306171)); +#9405=DIRECTION('',(0.,1.,0.)); +#9406=DIRECTION('center_axis',(-0.163007578448153,0.,0.986624816923064)); +#9407=DIRECTION('ref_axis',(0.986624816923064,0.,0.163007578448153)); +#9408=DIRECTION('',(0.,1.,0.)); +#9409=DIRECTION('',(0.986624816923064,0.,0.163007578448153)); +#9410=DIRECTION('center_axis',(-0.414670598092481,0.,0.909971590258522)); +#9411=DIRECTION('ref_axis',(0.909971590258522,0.,0.414670598092481)); +#9412=DIRECTION('',(0.,1.,0.)); +#9413=DIRECTION('',(0.909971590258522,0.,0.414670598092481)); +#9414=DIRECTION('center_axis',(0.,1.,0.)); +#9415=DIRECTION('ref_axis',(1.,0.,0.)); +#9416=DIRECTION('center_axis',(0.,1.,0.)); +#9417=DIRECTION('ref_axis',(1.,0.,0.)); +#9418=DIRECTION('center_axis',(0.,1.,0.)); +#9419=DIRECTION('ref_axis',(1.,0.,0.)); +#9420=DIRECTION('center_axis',(0.,1.,0.)); +#9421=DIRECTION('ref_axis',(1.,0.,0.)); +#9422=DIRECTION('center_axis',(0.,1.,0.)); +#9423=DIRECTION('ref_axis',(1.,0.,0.)); +#9424=DIRECTION('center_axis',(0.,1.,0.)); +#9425=DIRECTION('ref_axis',(1.,0.,0.)); +#9426=DIRECTION('center_axis',(0.,1.,0.)); +#9427=DIRECTION('ref_axis',(1.,0.,0.)); +#9428=DIRECTION('',(-0.125638692197249,0.,0.992076065139647)); +#9429=DIRECTION('',(0.12509649054901,0.,-0.992144580216171)); +#9430=DIRECTION('',(0.,0.,1.)); +#9431=DIRECTION('center_axis',(-0.992076065139647,0.,-0.125638692197249)); +#9432=DIRECTION('ref_axis',(-0.125638692197249,0.,0.992076065139647)); +#9433=DIRECTION('',(0.125638692197249,0.,-0.992076065139647)); +#9434=DIRECTION('',(0.,1.,0.)); +#9435=DIRECTION('',(0.,1.,0.)); +#9436=DIRECTION('center_axis',(-1.,0.,0.)); +#9437=DIRECTION('ref_axis',(0.,0.,1.)); +#9438=DIRECTION('',(0.,0.,-1.)); +#9439=DIRECTION('',(0.,1.,0.)); +#9440=DIRECTION('center_axis',(0.992144580216171,0.,0.12509649054901)); +#9441=DIRECTION('ref_axis',(0.12509649054901,0.,-0.992144580216171)); +#9442=DIRECTION('',(-0.12509649054901,0.,0.992144580216171)); +#9443=DIRECTION('center_axis',(0.,1.,0.)); +#9444=DIRECTION('ref_axis',(1.,0.,0.)); +#9445=DIRECTION('center_axis',(0.,1.,0.)); +#9446=DIRECTION('ref_axis',(1.,0.,0.)); +#9447=DIRECTION('',(0.,0.,1.)); +#9448=DIRECTION('',(0.245522578997889,0.,0.969390872250314)); +#9449=DIRECTION('',(-0.244521959197602,0.,-0.969643754927636)); +#9450=DIRECTION('center_axis',(-0.969643754927636,0.,0.244521959197602)); +#9451=DIRECTION('ref_axis',(0.244521959197602,0.,0.969643754927636)); +#9452=DIRECTION('',(0.,1.,0.)); +#9453=DIRECTION('',(0.244521959197602,0.,0.969643754927636)); +#9454=DIRECTION('',(0.,1.,0.)); +#9455=DIRECTION('center_axis',(0.969390872250314,0.,-0.245522578997889)); +#9456=DIRECTION('ref_axis',(-0.245522578997889,0.,-0.969390872250314)); +#9457=DIRECTION('',(0.,1.,0.)); +#9458=DIRECTION('',(-0.245522578997889,0.,-0.969390872250314)); +#9459=DIRECTION('center_axis',(1.,0.,0.)); +#9460=DIRECTION('ref_axis',(0.,0.,-1.)); +#9461=DIRECTION('',(0.,0.,-1.)); +#9462=DIRECTION('center_axis',(0.,1.,0.)); +#9463=DIRECTION('ref_axis',(1.,0.,0.)); +#9464=DIRECTION('center_axis',(0.,1.,0.)); +#9465=DIRECTION('ref_axis',(1.,0.,0.)); +#9466=DIRECTION('',(-0.867977276355438,0.,0.496603914332737)); +#9467=DIRECTION('',(-0.960799107601854,0.,0.277245513636346)); +#9468=DIRECTION('',(0.959517391567683,0.,-0.281649383594478)); +#9469=DIRECTION('',(0.869836501992682,0.,-0.493340105607819)); +#9470=DIRECTION('center_axis',(-0.496603914332737,0.,-0.867977276355438)); +#9471=DIRECTION('ref_axis',(-0.867977276355438,0.,0.496603914332737)); +#9472=DIRECTION('',(0.867977276355438,0.,-0.496603914332737)); +#9473=DIRECTION('',(0.,1.,0.)); +#9474=DIRECTION('',(0.,1.,0.)); +#9475=DIRECTION('center_axis',(0.493340105607819,0.,0.869836501992682)); +#9476=DIRECTION('ref_axis',(0.869836501992682,0.,-0.493340105607819)); +#9477=DIRECTION('',(-0.869836501992682,0.,0.493340105607819)); +#9478=DIRECTION('',(0.,1.,0.)); +#9479=DIRECTION('center_axis',(0.281649383594478,0.,0.959517391567683)); +#9480=DIRECTION('ref_axis',(0.959517391567683,0.,-0.281649383594478)); +#9481=DIRECTION('',(-0.959517391567683,0.,0.281649383594478)); +#9482=DIRECTION('',(0.,1.,0.)); +#9483=DIRECTION('center_axis',(-0.277245513636346,0.,-0.960799107601854)); +#9484=DIRECTION('ref_axis',(-0.960799107601854,0.,0.277245513636346)); +#9485=DIRECTION('',(0.960799107601854,0.,-0.277245513636346)); +#9486=DIRECTION('center_axis',(0.,1.,0.)); +#9487=DIRECTION('ref_axis',(1.,0.,0.)); +#9488=DIRECTION('center_axis',(0.,1.,0.)); +#9489=DIRECTION('ref_axis',(1.,0.,0.)); +#9490=DIRECTION('',(0.731055268242858,0.,-0.682318250360023)); +#9491=DIRECTION('',(0.891278030761085,0.,-0.453457243720554)); +#9492=DIRECTION('',(-0.948683298050521,0.,0.316227766016817)); +#9493=DIRECTION('',(-0.897554326437425,0.,0.440903879653446)); +#9494=DIRECTION('',(1.,0.,0.)); +#9495=DIRECTION('center_axis',(0.,1.,0.)); +#9496=DIRECTION('ref_axis',(1.,0.,0.)); +#9497=DIRECTION('',(0.891278030761085,0.,-0.453457243720554)); +#9498=DIRECTION('',(0.70710678118649,0.,-0.707106781186605)); +#9499=DIRECTION('',(-0.89127803076106,0.,0.453457243720603)); +#9500=DIRECTION('',(-0.70710678118649,0.,0.707106781186605)); +#9501=DIRECTION('center_axis',(0.,0.,-1.)); +#9502=DIRECTION('ref_axis',(-1.,0.,0.)); +#9503=DIRECTION('',(0.,1.,0.)); +#9504=DIRECTION('',(-1.,0.,0.)); +#9505=DIRECTION('',(0.,1.,0.)); +#9506=DIRECTION('center_axis',(0.440903879653446,0.,0.897554326437425)); +#9507=DIRECTION('ref_axis',(0.897554326437425,0.,-0.440903879653446)); +#9508=DIRECTION('',(0.,1.,0.)); +#9509=DIRECTION('',(0.897554326437425,0.,-0.440903879653446)); +#9510=DIRECTION('center_axis',(0.316227766016817,0.,0.948683298050521)); +#9511=DIRECTION('ref_axis',(0.948683298050521,0.,-0.316227766016817)); +#9512=DIRECTION('',(0.,1.,0.)); +#9513=DIRECTION('',(0.948683298050521,0.,-0.316227766016817)); +#9514=DIRECTION('center_axis',(0.707106781186605,0.,0.70710678118649)); +#9515=DIRECTION('ref_axis',(0.70710678118649,0.,-0.707106781186605)); +#9516=DIRECTION('',(0.,1.,0.)); +#9517=DIRECTION('',(0.70710678118649,0.,-0.707106781186605)); +#9518=DIRECTION('center_axis',(0.453457243720603,0.,0.89127803076106)); +#9519=DIRECTION('ref_axis',(0.89127803076106,0.,-0.453457243720603)); +#9520=DIRECTION('',(0.,1.,0.)); +#9521=DIRECTION('',(0.89127803076106,0.,-0.453457243720603)); +#9522=DIRECTION('center_axis',(-0.707106781186605,0.,-0.70710678118649)); +#9523=DIRECTION('ref_axis',(-0.70710678118649,0.,0.707106781186605)); +#9524=DIRECTION('',(0.,1.,0.)); +#9525=DIRECTION('',(-0.70710678118649,0.,0.707106781186605)); +#9526=DIRECTION('center_axis',(-0.453457243720554,0.,-0.891278030761085)); +#9527=DIRECTION('ref_axis',(-0.891278030761085,0.,0.453457243720554)); +#9528=DIRECTION('',(0.,1.,0.)); +#9529=DIRECTION('',(-0.891278030761085,0.,0.453457243720554)); +#9530=DIRECTION('center_axis',(-0.453457243720554,0.,-0.891278030761085)); +#9531=DIRECTION('ref_axis',(-0.891278030761085,0.,0.453457243720554)); +#9532=DIRECTION('',(0.,1.,0.)); +#9533=DIRECTION('',(-0.891278030761085,0.,0.453457243720554)); +#9534=DIRECTION('center_axis',(-0.682318250360023,0.,-0.731055268242858)); +#9535=DIRECTION('ref_axis',(-0.731055268242858,0.,0.682318250360023)); +#9536=DIRECTION('',(-0.731055268242858,0.,0.682318250360023)); +#9537=DIRECTION('center_axis',(0.,1.,0.)); +#9538=DIRECTION('ref_axis',(1.,0.,0.)); +#9539=DIRECTION('center_axis',(0.,1.,0.)); +#9540=DIRECTION('ref_axis',(1.,0.,0.)); +#9541=DIRECTION('center_axis',(-1.,0.,-1.85035800135303E-16)); +#9542=DIRECTION('ref_axis',(-1.85035800135303E-16,0.,1.)); +#9543=DIRECTION('',(1.85035800135303E-16,0.,-1.)); +#9544=DIRECTION('',(0.,1.,0.)); +#9545=DIRECTION('',(-1.85035800135303E-16,0.,1.)); +#9546=DIRECTION('',(0.,1.,0.)); +#9547=DIRECTION('center_axis',(-4.59966221675129E-16,0.,1.)); +#9548=DIRECTION('ref_axis',(1.,0.,4.59966221675129E-16)); +#9549=DIRECTION('',(-1.,0.,-4.59966221675129E-16)); +#9550=DIRECTION('',(1.,0.,4.59966221675129E-16)); +#9551=DIRECTION('',(0.,1.,0.)); +#9552=DIRECTION('center_axis',(1.,0.,0.)); +#9553=DIRECTION('ref_axis',(0.,0.,-1.)); +#9554=DIRECTION('',(0.,0.,1.)); +#9555=DIRECTION('',(0.,0.,-1.)); +#9556=DIRECTION('',(0.,1.,0.)); +#9557=DIRECTION('center_axis',(0.,0.,-1.)); +#9558=DIRECTION('ref_axis',(-1.,0.,0.)); +#9559=DIRECTION('',(1.,0.,0.)); +#9560=DIRECTION('',(-1.,0.,0.)); +#9561=DIRECTION('center_axis',(-1.,0.,0.)); +#9562=DIRECTION('ref_axis',(0.,0.,1.)); +#9563=DIRECTION('',(0.,0.,-1.)); +#9564=DIRECTION('',(0.,1.,0.)); +#9565=DIRECTION('',(0.,0.,1.)); +#9566=DIRECTION('',(0.,1.,0.)); +#9567=DIRECTION('center_axis',(0.,0.,1.)); +#9568=DIRECTION('ref_axis',(1.,0.,0.)); +#9569=DIRECTION('',(-1.,0.,0.)); +#9570=DIRECTION('',(1.,0.,0.)); +#9571=DIRECTION('',(0.,1.,0.)); +#9572=DIRECTION('center_axis',(1.,0.,0.)); +#9573=DIRECTION('ref_axis',(0.,0.,-1.)); +#9574=DIRECTION('',(0.,0.,1.)); +#9575=DIRECTION('',(0.,0.,-1.)); +#9576=DIRECTION('',(0.,1.,0.)); +#9577=DIRECTION('center_axis',(0.,0.,-1.)); +#9578=DIRECTION('ref_axis',(-1.,0.,0.)); +#9579=DIRECTION('',(1.,0.,0.)); +#9580=DIRECTION('',(-1.,0.,0.)); +#9581=DIRECTION('center_axis',(1.86174212535567E-16,0.,-1.)); +#9582=DIRECTION('ref_axis',(-1.,0.,-1.86174212535567E-16)); +#9583=DIRECTION('',(1.,0.,1.86174212535567E-16)); +#9584=DIRECTION('',(0.,1.,0.)); +#9585=DIRECTION('',(-1.,0.,-1.86174212535567E-16)); +#9586=DIRECTION('',(0.,1.,0.)); +#9587=DIRECTION('center_axis',(0.,1.,0.)); +#9588=DIRECTION('ref_axis',(-0.58531177829099,0.,0.810808314087762)); +#9589=DIRECTION('center_axis',(0.,1.,0.)); +#9590=DIRECTION('ref_axis',(-0.58531177829099,0.,0.810808314087762)); +#9591=DIRECTION('center_axis',(0.,-1.,0.)); +#9592=DIRECTION('ref_axis',(-0.58531177829099,0.,0.810808314087762)); +#9593=DIRECTION('',(0.,1.,0.)); +#9594=DIRECTION('center_axis',(0.,0.,-1.)); +#9595=DIRECTION('ref_axis',(-1.,0.,0.)); +#9596=DIRECTION('',(1.,0.,0.)); +#9597=DIRECTION('',(-1.,0.,0.)); +#9598=DIRECTION('',(0.,1.,0.)); +#9599=DIRECTION('center_axis',(0.,1.,0.)); +#9600=DIRECTION('ref_axis',(-0.585312319534659,0.,0.810807923370854)); +#9601=DIRECTION('center_axis',(0.,1.,0.)); +#9602=DIRECTION('ref_axis',(-0.585312319534659,0.,0.810807923370854)); +#9603=DIRECTION('center_axis',(0.,-1.,0.)); +#9604=DIRECTION('ref_axis',(-0.585312319534659,0.,0.810807923370854)); +#9605=DIRECTION('',(0.,1.,0.)); +#9606=DIRECTION('center_axis',(-1.86174212535567E-16,0.,-1.)); +#9607=DIRECTION('ref_axis',(-1.,0.,1.86174212535567E-16)); +#9608=DIRECTION('',(1.,0.,-1.86174212535567E-16)); +#9609=DIRECTION('',(-1.,0.,1.86174212535567E-16)); +#9610=DIRECTION('',(0.,1.,0.)); +#9611=DIRECTION('center_axis',(0.,1.,0.)); +#9612=DIRECTION('ref_axis',(0.998146101183513,0.,0.0608634594165734)); +#9613=DIRECTION('center_axis',(0.,-1.,0.)); +#9614=DIRECTION('ref_axis',(0.998146101183513,0.,0.0608634594165734)); +#9615=DIRECTION('center_axis',(0.,1.,0.)); +#9616=DIRECTION('ref_axis',(0.998146101183513,0.,0.0608634594165734)); +#9617=DIRECTION('',(0.,1.,0.)); +#9618=DIRECTION('center_axis',(1.,0.,-3.90942719093321E-15)); +#9619=DIRECTION('ref_axis',(-3.90942719093321E-15,0.,-1.)); +#9620=DIRECTION('',(3.90942719093321E-15,0.,1.)); +#9621=DIRECTION('',(-3.90942719093321E-15,0.,-1.)); +#9622=DIRECTION('',(0.,1.,0.)); +#9623=DIRECTION('center_axis',(0.,1.,0.)); +#9624=DIRECTION('ref_axis',(-0.809233831933077,0.,-0.587486685172446)); +#9625=DIRECTION('center_axis',(0.,1.,0.)); +#9626=DIRECTION('ref_axis',(-0.809233831933077,0.,-0.587486685172446)); +#9627=DIRECTION('center_axis',(0.,-1.,0.)); +#9628=DIRECTION('ref_axis',(-0.809233831933077,0.,-0.587486685172446)); +#9629=DIRECTION('',(0.,1.,0.)); +#9630=DIRECTION('center_axis',(1.,0.,0.)); +#9631=DIRECTION('ref_axis',(0.,0.,-1.)); +#9632=DIRECTION('',(0.,0.,1.)); +#9633=DIRECTION('',(0.,0.,-1.)); +#9634=DIRECTION('',(0.,1.,0.)); +#9635=DIRECTION('center_axis',(0.,1.,0.)); +#9636=DIRECTION('ref_axis',(-0.8106141016187,0.,-0.585580718822698)); +#9637=DIRECTION('center_axis',(0.,1.,0.)); +#9638=DIRECTION('ref_axis',(-0.8106141016187,0.,-0.585580718822698)); +#9639=DIRECTION('center_axis',(0.,-1.,0.)); +#9640=DIRECTION('ref_axis',(-0.8106141016187,0.,-0.585580718822698)); +#9641=DIRECTION('',(0.,1.,0.)); +#9642=DIRECTION('center_axis',(1.,0.,0.)); +#9643=DIRECTION('ref_axis',(0.,0.,-1.)); +#9644=DIRECTION('',(0.,0.,1.)); +#9645=DIRECTION('',(0.,0.,-1.)); +#9646=DIRECTION('',(0.,1.,0.)); +#9647=DIRECTION('center_axis',(0.,1.,0.)); +#9648=DIRECTION('ref_axis',(-0.00917143336593639,0.,0.999957941520549)); +#9649=DIRECTION('center_axis',(0.,-1.,0.)); +#9650=DIRECTION('ref_axis',(-0.00917143336593639,0.,0.999957941520549)); +#9651=DIRECTION('center_axis',(0.,1.,0.)); +#9652=DIRECTION('ref_axis',(-0.00917143336593639,0.,0.999957941520549)); +#9653=DIRECTION('',(0.,1.,0.)); +#9654=DIRECTION('center_axis',(-7.4469685014227E-16,0.,1.)); +#9655=DIRECTION('ref_axis',(1.,0.,7.4469685014227E-16)); +#9656=DIRECTION('',(-1.,0.,-7.4469685014227E-16)); +#9657=DIRECTION('',(1.,0.,7.4469685014227E-16)); +#9658=DIRECTION('',(0.,1.,0.)); +#9659=DIRECTION('center_axis',(0.,1.,0.)); +#9660=DIRECTION('ref_axis',(0.58531231953466,0.,-0.810807923370853)); +#9661=DIRECTION('center_axis',(0.,1.,0.)); +#9662=DIRECTION('ref_axis',(0.58531231953466,0.,-0.810807923370853)); +#9663=DIRECTION('center_axis',(0.,-1.,0.)); +#9664=DIRECTION('ref_axis',(0.58531231953466,0.,-0.810807923370853)); +#9665=DIRECTION('',(0.,1.,0.)); +#9666=DIRECTION('center_axis',(0.,1.,0.)); +#9667=DIRECTION('ref_axis',(0.569735576069707,0.,-0.82182806800481)); +#9668=DIRECTION('center_axis',(0.,1.,0.)); +#9669=DIRECTION('ref_axis',(0.569735576069707,0.,-0.82182806800481)); +#9670=DIRECTION('',(0.,1.,0.)); +#9671=DIRECTION('center_axis',(0.,-1.,0.)); +#9672=DIRECTION('ref_axis',(0.569735576069707,0.,-0.82182806800481)); +#9673=DIRECTION('',(0.,1.,0.)); +#9674=DIRECTION('center_axis',(-7.44520673929793E-16,0.,1.)); +#9675=DIRECTION('ref_axis',(1.,0.,7.44520673929793E-16)); +#9676=DIRECTION('',(-1.,0.,-7.44520673929793E-16)); +#9677=DIRECTION('',(1.,0.,7.44520673929793E-16)); +#9678=DIRECTION('',(0.,1.,0.)); +#9679=DIRECTION('center_axis',(0.,1.,0.)); +#9680=DIRECTION('ref_axis',(-0.998146854943841,0.,-0.0608510966681722)); +#9681=DIRECTION('center_axis',(0.,-1.,0.)); +#9682=DIRECTION('ref_axis',(-0.998146854943841,0.,-0.0608510966681722)); +#9683=DIRECTION('center_axis',(0.,1.,0.)); +#9684=DIRECTION('ref_axis',(-0.998146854943841,0.,-0.0608510966681722)); +#9685=DIRECTION('',(0.,1.,0.)); +#9686=DIRECTION('center_axis',(-1.,0.,0.)); +#9687=DIRECTION('ref_axis',(0.,0.,1.)); +#9688=DIRECTION('',(0.,0.,-1.)); +#9689=DIRECTION('',(0.,0.,1.)); +#9690=DIRECTION('',(0.,1.,0.)); +#9691=DIRECTION('center_axis',(0.,1.,0.)); +#9692=DIRECTION('ref_axis',(0.809618158285816,0.,0.586956930084212)); +#9693=DIRECTION('center_axis',(0.,1.,0.)); +#9694=DIRECTION('ref_axis',(0.809618158285816,0.,0.586956930084212)); +#9695=DIRECTION('center_axis',(0.,-1.,0.)); +#9696=DIRECTION('ref_axis',(0.809618158285816,0.,0.586956930084212)); +#9697=DIRECTION('',(0.,1.,0.)); +#9698=DIRECTION('center_axis',(-1.,0.,0.)); +#9699=DIRECTION('ref_axis',(0.,0.,1.)); +#9700=DIRECTION('',(0.,0.,-1.)); +#9701=DIRECTION('',(0.,0.,1.)); +#9702=DIRECTION('',(0.,1.,0.)); +#9703=DIRECTION('center_axis',(0.,1.,0.)); +#9704=DIRECTION('ref_axis',(0.809423436772763,0.,0.587225425201403)); +#9705=DIRECTION('center_axis',(0.,1.,0.)); +#9706=DIRECTION('ref_axis',(0.809423436772763,0.,0.587225425201403)); +#9707=DIRECTION('center_axis',(0.,-1.,0.)); +#9708=DIRECTION('ref_axis',(0.809423436772763,0.,0.587225425201403)); +#9709=DIRECTION('',(0.,1.,0.)); +#9710=DIRECTION('center_axis',(-1.,0.,0.)); +#9711=DIRECTION('ref_axis',(0.,0.,1.)); +#9712=DIRECTION('',(0.,0.,-1.)); +#9713=DIRECTION('',(0.,0.,1.)); +#9714=DIRECTION('',(0.,1.,0.)); +#9715=DIRECTION('center_axis',(0.,1.,0.)); +#9716=DIRECTION('ref_axis',(0.0110652311407252,0.,-0.999938778455862)); +#9717=DIRECTION('center_axis',(0.,-1.,0.)); +#9718=DIRECTION('ref_axis',(0.0110652311407252,0.,-0.999938778455862)); +#9719=DIRECTION('center_axis',(0.,1.,0.)); +#9720=DIRECTION('ref_axis',(0.0110652311407252,0.,-0.999938778455862)); +#9721=DIRECTION('center_axis',(0.,1.,0.)); +#9722=DIRECTION('ref_axis',(-1.,0.,0.)); +#9723=DIRECTION('',(1.,0.,0.)); +#9724=DIRECTION('center_axis',(0.,-1.,0.)); +#9725=DIRECTION('ref_axis',(0.999966322016813,0.,0.00820699897447463)); +#9726=DIRECTION('',(0.,0.,1.)); +#9727=DIRECTION('center_axis',(0.,1.,0.)); +#9728=DIRECTION('ref_axis',(-0.998070387693328,0.,-0.0620926824166052)); +#9729=DIRECTION('',(1.,0.,1.9070954361297E-16)); +#9730=DIRECTION('center_axis',(0.,1.,0.)); +#9731=DIRECTION('ref_axis',(0.0481433468271034,0.,0.998840436784717)); +#9732=DIRECTION('',(-7.40143200541213E-16,0.,-1.)); +#9733=DIRECTION('center_axis',(0.,-1.,0.)); +#9734=DIRECTION('ref_axis',(2.7376866826105E-15,0.,-1.)); +#9735=DIRECTION('',(1.,0.,0.)); +#9736=DIRECTION('center_axis',(0.,1.,0.)); +#9737=DIRECTION('ref_axis',(-1.,0.,0.)); +#9738=DIRECTION('center_axis',(0.,1.,0.)); +#9739=DIRECTION('ref_axis',(-1.,0.,0.)); +#9740=DIRECTION('center_axis',(1.,0.,-1.11021480081182E-15)); +#9741=DIRECTION('ref_axis',(-1.11021480081182E-15,0.,-1.)); +#9742=DIRECTION('',(1.11021480081182E-15,0.,1.)); +#9743=DIRECTION('',(0.,1.,0.)); +#9744=DIRECTION('',(0.,1.,0.)); +#9745=DIRECTION('center_axis',(0.,1.,0.)); +#9746=DIRECTION('ref_axis',(0.0481433468271034,0.,0.998840436784717)); +#9747=DIRECTION('center_axis',(0.,1.,0.)); +#9748=DIRECTION('ref_axis',(0.0481433468271034,0.,0.998840436784717)); +#9749=DIRECTION('',(0.,1.,0.)); +#9750=DIRECTION('center_axis',(0.,0.,1.)); +#9751=DIRECTION('ref_axis',(1.,0.,0.)); +#9752=DIRECTION('',(1.,0.,0.)); +#9753=DIRECTION('',(0.,1.,0.)); +#9754=DIRECTION('center_axis',(0.,1.,0.)); +#9755=DIRECTION('ref_axis',(-0.998070387693328,0.,-0.0620926824166052)); +#9756=DIRECTION('center_axis',(0.,1.,0.)); +#9757=DIRECTION('ref_axis',(-0.998070387693328,0.,-0.0620926824166052)); +#9758=DIRECTION('',(0.,1.,0.)); +#9759=DIRECTION('center_axis',(-1.,0.,0.)); +#9760=DIRECTION('ref_axis',(0.,0.,1.)); +#9761=DIRECTION('',(0.,0.,1.)); +#9762=DIRECTION('',(0.,1.,0.)); +#9763=DIRECTION('center_axis',(0.,1.,0.)); +#9764=DIRECTION('ref_axis',(0.999966322016813,0.,0.00820699897447463)); +#9765=DIRECTION('center_axis',(0.,1.,0.)); +#9766=DIRECTION('ref_axis',(0.999966322016813,0.,0.00820699897447463)); +#9767=DIRECTION('',(0.,1.,0.)); +#9768=DIRECTION('center_axis',(0.,0.,1.)); +#9769=DIRECTION('ref_axis',(1.,0.,0.)); +#9770=DIRECTION('',(1.,0.,0.)); +#9771=DIRECTION('',(-1.,0.,0.)); +#9772=DIRECTION('',(0.,1.,0.)); +#9773=DIRECTION('center_axis',(1.,0.,0.)); +#9774=DIRECTION('ref_axis',(0.,0.,-1.)); +#9775=DIRECTION('',(0.,0.,1.)); +#9776=DIRECTION('',(0.,0.,-1.)); +#9777=DIRECTION('',(0.,1.,0.)); +#9778=DIRECTION('center_axis',(0.,1.,0.)); +#9779=DIRECTION('ref_axis',(0.24406252343393,0.,0.969759498357743)); +#9780=DIRECTION('center_axis',(0.,1.,0.)); +#9781=DIRECTION('ref_axis',(0.24406252343393,0.,0.969759498357743)); +#9782=DIRECTION('center_axis',(0.,1.,0.)); +#9783=DIRECTION('ref_axis',(0.24406252343393,0.,0.969759498357743)); +#9784=DIRECTION('',(0.,1.,0.)); +#9785=DIRECTION('center_axis',(-2.09682743977218E-7,0.,0.999999999999978)); +#9786=DIRECTION('ref_axis',(0.999999999999978,0.,2.09682743977218E-7)); +#9787=DIRECTION('',(-0.999999999999978,0.,-2.09682743977218E-7)); +#9788=DIRECTION('',(0.999999999999978,0.,2.09682743977218E-7)); +#9789=DIRECTION('',(0.,1.,0.)); +#9790=DIRECTION('center_axis',(0.,1.,0.)); +#9791=DIRECTION('ref_axis',(-0.999999420257961,0.,0.00107679326801821)); +#9792=DIRECTION('center_axis',(0.,1.,0.)); +#9793=DIRECTION('ref_axis',(-0.999999420257961,0.,0.00107679326801821)); +#9794=DIRECTION('center_axis',(0.,1.,0.)); +#9795=DIRECTION('ref_axis',(-0.999999420257961,0.,0.00107679326801821)); +#9796=DIRECTION('',(0.,1.,0.)); +#9797=DIRECTION('center_axis',(-0.99999999678169,0.,8.02285462642498E-5)); +#9798=DIRECTION('ref_axis',(8.02285462642498E-5,0.,0.99999999678169)); +#9799=DIRECTION('',(-8.02285462642498E-5,0.,-0.99999999678169)); +#9800=DIRECTION('',(8.02285462642498E-5,0.,0.99999999678169)); +#9801=DIRECTION('',(0.,1.,0.)); +#9802=DIRECTION('center_axis',(0.,1.,0.)); +#9803=DIRECTION('ref_axis',(-0.00884252154255609,0.,-0.999960904142142)); +#9804=DIRECTION('center_axis',(0.,1.,0.)); +#9805=DIRECTION('ref_axis',(-0.00884252154255609,0.,-0.999960904142142)); +#9806=DIRECTION('center_axis',(0.,1.,0.)); +#9807=DIRECTION('ref_axis',(-0.00884252154255609,0.,-0.999960904142142)); +#9808=DIRECTION('',(0.,1.,0.)); +#9809=DIRECTION('center_axis',(-1.,0.,0.)); +#9810=DIRECTION('ref_axis',(0.,0.,1.)); +#9811=DIRECTION('',(0.,0.,1.)); +#9812=DIRECTION('',(0.,0.,1.)); +#9813=DIRECTION('',(0.,1.,0.)); +#9814=DIRECTION('center_axis',(0.,0.,-1.)); +#9815=DIRECTION('ref_axis',(-1.,0.,0.)); +#9816=DIRECTION('',(1.,0.,0.)); +#9817=DIRECTION('',(-1.,0.,0.)); +#9818=DIRECTION('',(0.,1.,0.)); +#9819=DIRECTION('center_axis',(1.,0.,-6.93191385176483E-16)); +#9820=DIRECTION('ref_axis',(-6.93191385176483E-16,0.,-1.)); +#9821=DIRECTION('',(-6.93191385176483E-16,0.,-1.)); +#9822=DIRECTION('',(-6.93191385176483E-16,0.,-1.)); +#9823=DIRECTION('',(0.,1.,0.)); +#9824=DIRECTION('center_axis',(0.,0.,-1.)); +#9825=DIRECTION('ref_axis',(-1.,0.,0.)); +#9826=DIRECTION('',(1.,0.,0.)); +#9827=DIRECTION('',(-1.,0.,0.)); +#9828=DIRECTION('',(0.,1.,0.)); +#9829=DIRECTION('center_axis',(-1.,0.,0.)); +#9830=DIRECTION('ref_axis',(0.,0.,1.)); +#9831=DIRECTION('',(0.,0.,-1.)); +#9832=DIRECTION('',(0.,0.,1.)); +#9833=DIRECTION('',(0.,1.,0.)); +#9834=DIRECTION('center_axis',(0.,0.,-1.)); +#9835=DIRECTION('ref_axis',(-1.,0.,0.)); +#9836=DIRECTION('',(1.,0.,0.)); +#9837=DIRECTION('',(-1.,0.,0.)); +#9838=DIRECTION('',(0.,1.,0.)); +#9839=DIRECTION('center_axis',(0.999999903105975,0.,0.000440213631706452)); +#9840=DIRECTION('ref_axis',(0.000440213631706452,0.,-0.999999903105975)); +#9841=DIRECTION('',(-0.000440213631706452,0.,0.999999903105975)); +#9842=DIRECTION('',(0.000440213631706452,0.,-0.999999903105975)); +#9843=DIRECTION('',(0.,1.,0.)); +#9844=DIRECTION('center_axis',(0.,1.,0.)); +#9845=DIRECTION('ref_axis',(1.,0.,-8.38745647529573E-16)); +#9846=DIRECTION('center_axis',(0.,1.,0.)); +#9847=DIRECTION('ref_axis',(1.,0.,-8.38745647529573E-16)); +#9848=DIRECTION('center_axis',(0.,1.,0.)); +#9849=DIRECTION('ref_axis',(1.,0.,-8.38745647529573E-16)); +#9850=DIRECTION('',(0.,1.,0.)); +#9851=DIRECTION('center_axis',(1.,0.,0.)); +#9852=DIRECTION('ref_axis',(0.,0.,-1.)); +#9853=DIRECTION('',(0.,0.,1.)); +#9854=DIRECTION('',(0.,0.,-1.)); +#9855=DIRECTION('',(0.,1.,0.)); +#9856=DIRECTION('center_axis',(0.,1.,0.)); +#9857=DIRECTION('ref_axis',(0.,0.,1.)); +#9858=DIRECTION('center_axis',(0.,1.,0.)); +#9859=DIRECTION('ref_axis',(0.,0.,1.)); +#9860=DIRECTION('center_axis',(0.,1.,0.)); +#9861=DIRECTION('ref_axis',(0.,0.,1.)); +#9862=DIRECTION('',(0.,1.,0.)); +#9863=DIRECTION('center_axis',(0.,0.,1.)); +#9864=DIRECTION('ref_axis',(1.,0.,0.)); +#9865=DIRECTION('',(-1.,0.,0.)); +#9866=DIRECTION('',(1.,0.,0.)); +#9867=DIRECTION('',(0.,1.,0.)); +#9868=DIRECTION('center_axis',(0.,1.,0.)); +#9869=DIRECTION('ref_axis',(-0.999990731790876,0.,-0.00430538411160357)); +#9870=DIRECTION('center_axis',(0.,1.,0.)); +#9871=DIRECTION('ref_axis',(-0.999990731790876,0.,-0.00430538411160357)); +#9872=DIRECTION('center_axis',(0.,1.,0.)); +#9873=DIRECTION('ref_axis',(-0.999990731790876,0.,-0.00430538411160357)); +#9874=DIRECTION('',(0.,1.,0.)); +#9875=DIRECTION('center_axis',(-1.,0.,-9.1325852835207E-16)); +#9876=DIRECTION('ref_axis',(-9.1325852835207E-16,0.,1.)); +#9877=DIRECTION('',(9.1325852835207E-16,0.,-1.)); +#9878=DIRECTION('',(-9.1325852835207E-16,0.,1.)); +#9879=DIRECTION('',(0.,1.,0.)); +#9880=DIRECTION('center_axis',(0.,0.,1.)); +#9881=DIRECTION('ref_axis',(1.,0.,0.)); +#9882=DIRECTION('',(1.,0.,0.)); +#9883=DIRECTION('',(-1.,0.,0.)); +#9884=DIRECTION('',(0.,1.,0.)); +#9885=DIRECTION('center_axis',(0.,1.,0.)); +#9886=DIRECTION('ref_axis',(2.7376866826105E-15,0.,-1.)); +#9887=DIRECTION('center_axis',(0.,1.,0.)); +#9888=DIRECTION('ref_axis',(2.7376866826105E-15,0.,-1.)); +#9889=DIRECTION('center_axis',(0.,1.,0.)); +#9890=DIRECTION('ref_axis',(-1.,0.,0.)); +#9891=DIRECTION('center_axis',(0.,1.,0.)); +#9892=DIRECTION('ref_axis',(-1.,0.,0.)); +#9893=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.)); +#9894=DIRECTION('ref_axis',(0.,0.,1.)); +#9895=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); +#9896=DIRECTION('',(0.,0.,1.)); +#9897=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); +#9898=DIRECTION('',(0.,0.,-1.)); +#9899=DIRECTION('center_axis',(0.,-1.,0.)); +#9900=DIRECTION('ref_axis',(0.,0.,-1.)); +#9901=DIRECTION('',(1.,0.,0.)); +#9902=DIRECTION('',(0.,0.,-1.)); +#9903=DIRECTION('',(-1.,0.,0.)); +#9904=DIRECTION('center_axis',(0.,0.,-1.)); +#9905=DIRECTION('ref_axis',(1.,0.,0.)); +#9906=DIRECTION('',(0.,-1.,0.)); +#9907=DIRECTION('',(-1.,0.,0.)); +#9908=DIRECTION('',(0.,-1.,0.)); +#9909=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); +#9910=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); +#9911=DIRECTION('ref_axis',(0.,0.,-1.)); +#9912=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); +#9913=DIRECTION('',(0.,0.,1.)); +#9914=DIRECTION('center_axis',(0.,0.,1.)); +#9915=DIRECTION('ref_axis',(-1.,0.,0.)); +#9916=DIRECTION('',(0.,-1.,0.)); +#9917=DIRECTION('',(1.,0.,0.)); +#9918=DIRECTION('',(0.,-1.,0.)); +#9919=DIRECTION('center_axis',(1.,0.,0.)); +#9920=DIRECTION('ref_axis',(0.,0.,1.)); +#9921=DIRECTION('',(0.,0.,-1.)); +#9922=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.)); +#9923=DIRECTION('ref_axis',(0.,0.,1.)); +#9924=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); +#9925=DIRECTION('',(0.,0.,1.)); +#9926=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); +#9927=DIRECTION('',(0.,0.,-1.)); +#9928=DIRECTION('center_axis',(0.,-1.,0.)); +#9929=DIRECTION('ref_axis',(0.,0.,-1.)); +#9930=DIRECTION('',(1.,0.,0.)); +#9931=DIRECTION('',(0.,0.,-1.)); +#9932=DIRECTION('',(-1.,0.,0.)); +#9933=DIRECTION('center_axis',(0.,0.,-1.)); +#9934=DIRECTION('ref_axis',(1.,0.,0.)); +#9935=DIRECTION('',(0.,-1.,0.)); +#9936=DIRECTION('',(-1.,0.,0.)); +#9937=DIRECTION('',(0.,-1.,0.)); +#9938=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); +#9939=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); +#9940=DIRECTION('ref_axis',(0.,0.,-1.)); +#9941=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); +#9942=DIRECTION('',(0.,0.,1.)); +#9943=DIRECTION('center_axis',(0.,0.,1.)); +#9944=DIRECTION('ref_axis',(-1.,0.,0.)); +#9945=DIRECTION('',(0.,-1.,0.)); +#9946=DIRECTION('',(1.,0.,0.)); +#9947=DIRECTION('',(0.,-1.,0.)); +#9948=DIRECTION('center_axis',(1.,0.,0.)); +#9949=DIRECTION('ref_axis',(0.,0.,1.)); +#9950=DIRECTION('',(0.,0.,-1.)); +#9951=DIRECTION('center_axis',(0.,1.,0.)); +#9952=DIRECTION('ref_axis',(1.,0.,0.)); +#9953=DIRECTION('center_axis',(0.,1.,0.)); +#9954=DIRECTION('ref_axis',(1.,0.,0.)); +#9955=DIRECTION('',(-0.707106781186547,0.707106781186548,-8.65956056235493E-17)); +#9956=DIRECTION('center_axis',(0.,-1.,0.)); +#9957=DIRECTION('ref_axis',(1.,0.,0.)); +#9958=DIRECTION('center_axis',(0.,-1.,0.)); +#9959=DIRECTION('ref_axis',(1.,0.,0.)); +#9960=DIRECTION('',(0.,1.,0.)); +#9961=DIRECTION('center_axis',(0.,1.,0.)); +#9962=DIRECTION('ref_axis',(1.,0.,0.)); +#9963=DIRECTION('center_axis',(0.,-1.,0.)); +#9964=DIRECTION('ref_axis',(1.,0.,0.)); +#9965=DIRECTION('center_axis',(-1.,0.,0.)); +#9966=DIRECTION('ref_axis',(0.,0.,-1.)); +#9967=DIRECTION('',(0.,0.,1.)); +#9968=DIRECTION('center_axis',(0.,-1.,0.)); +#9969=DIRECTION('ref_axis',(1.,0.,0.)); +#9970=DIRECTION('center_axis',(0.,-1.,0.)); +#9971=DIRECTION('ref_axis',(1.,0.,0.)); +#9972=DIRECTION('',(0.,1.,0.)); +#9973=DIRECTION('center_axis',(0.,1.,0.)); +#9974=DIRECTION('ref_axis',(1.,0.,0.)); +#9975=DIRECTION('center_axis',(0.,-1.,0.)); +#9976=DIRECTION('ref_axis',(1.,0.,0.)); +#9977=DIRECTION('center_axis',(-1.,0.,0.)); +#9978=DIRECTION('ref_axis',(0.,0.,-1.)); +#9979=DIRECTION('',(0.,0.,1.)); +#9980=DIRECTION('center_axis',(0.,-1.,0.)); +#9981=DIRECTION('ref_axis',(1.,0.,0.)); +#9982=DIRECTION('center_axis',(0.,-1.,0.)); +#9983=DIRECTION('ref_axis',(1.,0.,0.)); +#9984=DIRECTION('',(0.,1.,0.)); +#9985=DIRECTION('center_axis',(0.,1.,0.)); +#9986=DIRECTION('ref_axis',(1.,0.,0.)); +#9987=DIRECTION('center_axis',(0.,-1.,0.)); +#9988=DIRECTION('ref_axis',(1.,0.,0.)); +#9989=DIRECTION('center_axis',(-0.353795951811255,0.,-0.935322631225166)); +#9990=DIRECTION('ref_axis',(0.935322631225166,0.,-0.353795951811255)); +#9991=DIRECTION('',(0.935322631225166,0.,-0.353795951811255)); +#9992=DIRECTION('',(0.,-1.,0.)); +#9993=DIRECTION('',(0.935322631225166,0.,-0.353795951811255)); +#9994=DIRECTION('',(0.,-1.,0.)); +#9995=DIRECTION('center_axis',(0.355146418401731,0.,0.934810687517223)); +#9996=DIRECTION('ref_axis',(-0.934810687517223,0.,0.355146418401731)); +#9997=DIRECTION('',(-0.934810687517223,0.,0.355146418401731)); +#9998=DIRECTION('',(-0.934810687517223,0.,0.355146418401731)); +#9999=DIRECTION('',(0.,-1.,0.)); +#10000=DIRECTION('center_axis',(0.,0.,1.)); +#10001=DIRECTION('ref_axis',(-1.,0.,0.)); +#10002=DIRECTION('',(-1.,0.,0.)); +#10003=DIRECTION('',(-1.,0.,0.)); +#10004=DIRECTION('center_axis',(0.985588163188568,0.,0.169162562591682)); +#10005=DIRECTION('ref_axis',(-0.169162562591682,0.,0.985588163188568)); +#10006=DIRECTION('',(-0.169162562591682,0.,0.985588163188568)); +#10007=DIRECTION('',(0.,-1.,0.)); +#10008=DIRECTION('',(-0.169162562591682,0.,0.985588163188568)); +#10009=DIRECTION('',(0.,-1.,0.)); +#10010=DIRECTION('center_axis',(-0.999999874615023,0.,-0.000500769347276135)); +#10011=DIRECTION('ref_axis',(0.000500769347276135,0.,-0.999999874615023)); +#10012=DIRECTION('',(0.000500769347276135,0.,-0.999999874615023)); +#10013=DIRECTION('',(0.000500769347276135,0.,-0.999999874615023)); +#10014=DIRECTION('',(0.,-1.,0.)); +#10015=DIRECTION('center_axis',(-0.97039125345022,0.,-0.241538434265255)); +#10016=DIRECTION('ref_axis',(0.241538434265255,0.,-0.97039125345022)); +#10017=DIRECTION('',(0.241538434265255,0.,-0.97039125345022)); +#10018=DIRECTION('',(0.241538434265255,0.,-0.97039125345022)); +#10019=DIRECTION('center_axis',(0.999999999999991,0.,-1.36696868506575E-7)); +#10020=DIRECTION('ref_axis',(1.36696868506575E-7,0.,0.999999999999991)); +#10021=DIRECTION('',(1.36696868506575E-7,0.,0.999999999999991)); +#10022=DIRECTION('',(0.,-1.,0.)); +#10023=DIRECTION('',(1.36696868506575E-7,0.,0.999999999999991)); +#10024=DIRECTION('',(0.,-1.,0.)); +#10025=DIRECTION('center_axis',(0.,-1.,0.)); +#10026=DIRECTION('ref_axis',(0.010775541676397,0.,-0.999941942165434)); +#10027=DIRECTION('center_axis',(0.,-1.,0.)); +#10028=DIRECTION('ref_axis',(0.010775541676397,0.,-0.999941942165434)); +#10029=DIRECTION('center_axis',(0.,-1.,0.)); +#10030=DIRECTION('ref_axis',(0.010775541676397,0.,-0.999941942165434)); +#10031=DIRECTION('',(0.,-1.,0.)); +#10032=DIRECTION('center_axis',(3.11790085888879E-5,0.,-0.999999999513935)); +#10033=DIRECTION('ref_axis',(0.999999999513935,0.,3.11790085888879E-5)); +#10034=DIRECTION('',(0.999999999513935,0.,3.11790085888879E-5)); +#10035=DIRECTION('',(0.999999999513935,0.,3.11790085888879E-5)); +#10036=DIRECTION('',(0.,-1.,0.)); +#10037=DIRECTION('center_axis',(0.,-1.,0.)); +#10038=DIRECTION('ref_axis',(-1.,0.,-5.00600580701645E-9)); +#10039=DIRECTION('center_axis',(0.,-1.,0.)); +#10040=DIRECTION('ref_axis',(-1.,0.,-5.00600580701645E-9)); +#10041=DIRECTION('center_axis',(0.,-1.,0.)); +#10042=DIRECTION('ref_axis',(-1.,0.,-5.00600580701645E-9)); +#10043=DIRECTION('',(0.,-1.,0.)); +#10044=DIRECTION('center_axis',(-1.,0.,-2.46038305291753E-8)); +#10045=DIRECTION('ref_axis',(2.46038305291753E-8,0.,-1.)); +#10046=DIRECTION('',(2.46038305291753E-8,0.,-1.)); +#10047=DIRECTION('',(2.46038305291753E-8,0.,-1.)); +#10048=DIRECTION('',(0.,-1.,0.)); +#10049=DIRECTION('center_axis',(0.,-1.,0.)); +#10050=DIRECTION('ref_axis',(-2.14985634989921E-15,0.,1.)); +#10051=DIRECTION('center_axis',(0.,-1.,0.)); +#10052=DIRECTION('ref_axis',(-2.14985634989921E-15,0.,1.)); +#10053=DIRECTION('center_axis',(0.,-1.,0.)); +#10054=DIRECTION('ref_axis',(-2.14985634989921E-15,0.,1.)); +#10055=DIRECTION('',(0.,-1.,0.)); +#10056=DIRECTION('center_axis',(-9.81777847044527E-17,0.,1.)); +#10057=DIRECTION('ref_axis',(-1.,0.,-9.81777847044527E-17)); +#10058=DIRECTION('',(-1.,0.,-9.81777847044527E-17)); +#10059=DIRECTION('',(-1.,0.,-9.81777847044527E-17)); +#10060=DIRECTION('',(0.,-1.,0.)); +#10061=DIRECTION('center_axis',(0.,-1.,0.)); +#10062=DIRECTION('ref_axis',(0.998190848842343,0.,0.0601251136165503)); +#10063=DIRECTION('center_axis',(0.,-1.,0.)); +#10064=DIRECTION('ref_axis',(0.998190848842343,0.,0.0601251136165503)); +#10065=DIRECTION('center_axis',(0.,-1.,0.)); +#10066=DIRECTION('ref_axis',(0.998190848842343,0.,0.0601251136165503)); +#10067=DIRECTION('center_axis',(0.,-1.,0.)); +#10068=DIRECTION('ref_axis',(1.,0.,0.)); +#10069=DIRECTION('center_axis',(0.,-1.,0.)); +#10070=DIRECTION('ref_axis',(1.,0.,0.)); +#10071=DIRECTION('axis',(0.,0.,1.)); +#10072=DIRECTION('refdir',(1.,0.,0.)); +#10073=DIRECTION('center_axis',(-1.,0.,0.)); +#10074=DIRECTION('ref_axis',(0.,0.,1.)); +#10075=DIRECTION('',(0.,0.,-1.)); +#10076=DIRECTION('',(0.,1.,0.)); +#10077=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10078=DIRECTION('',(0.,1.,0.)); +#10079=DIRECTION('center_axis',(0.,0.,-1.)); +#10080=DIRECTION('ref_axis',(-1.,0.,0.)); +#10081=DIRECTION('',(1.,0.,0.)); +#10082=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10083=DIRECTION('',(0.,1.,0.)); +#10084=DIRECTION('center_axis',(1.,0.,0.)); +#10085=DIRECTION('ref_axis',(0.,0.,-1.)); +#10086=DIRECTION('',(0.,0.,1.)); +#10087=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10088=DIRECTION('',(0.,1.,0.)); +#10089=DIRECTION('center_axis',(0.,0.,1.)); +#10090=DIRECTION('ref_axis',(1.,0.,0.)); +#10091=DIRECTION('',(-1.,0.,0.)); +#10092=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10093=DIRECTION('',(0.,1.,0.)); +#10094=DIRECTION('center_axis',(1.,0.,0.)); +#10095=DIRECTION('ref_axis',(0.,0.,-1.)); +#10096=DIRECTION('',(0.,0.,-1.)); +#10097=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10098=DIRECTION('',(0.,1.,0.)); +#10099=DIRECTION('center_axis',(0.,0.,-1.)); +#10100=DIRECTION('ref_axis',(-1.,0.,0.)); +#10101=DIRECTION('',(-1.,0.,0.)); +#10102=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10103=DIRECTION('',(0.,1.,0.)); +#10104=DIRECTION('center_axis',(1.,0.,0.)); +#10105=DIRECTION('ref_axis',(0.,0.,-1.)); +#10106=DIRECTION('',(0.,0.,-1.)); +#10107=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10108=DIRECTION('',(0.,1.,0.)); +#10109=DIRECTION('center_axis',(0.,0.,1.)); +#10110=DIRECTION('ref_axis',(1.,0.,0.)); +#10111=DIRECTION('',(1.,0.,0.)); +#10112=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10113=DIRECTION('',(0.,1.,0.)); +#10114=DIRECTION('center_axis',(-1.,0.,0.)); +#10115=DIRECTION('ref_axis',(0.,0.,1.)); +#10116=DIRECTION('',(0.,0.,1.)); +#10117=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10118=DIRECTION('',(0.,1.,0.)); +#10119=DIRECTION('center_axis',(0.,0.,-1.)); +#10120=DIRECTION('ref_axis',(-1.,0.,0.)); +#10121=DIRECTION('',(-1.,0.,0.)); +#10122=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10123=DIRECTION('',(0.,1.,0.)); +#10124=DIRECTION('center_axis',(-1.,0.,0.)); +#10125=DIRECTION('ref_axis',(0.,0.,1.)); +#10126=DIRECTION('',(0.,0.,1.)); +#10127=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10128=DIRECTION('',(0.,1.,0.)); +#10129=DIRECTION('center_axis',(0.,0.,1.)); +#10130=DIRECTION('ref_axis',(1.,0.,0.)); +#10131=DIRECTION('',(-1.,0.,0.)); +#10132=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10133=DIRECTION('center_axis',(0.,-1.,0.)); +#10134=DIRECTION('ref_axis',(1.,0.,0.)); +#10135=DIRECTION('center_axis',(0.,0.,-1.)); +#10136=DIRECTION('ref_axis',(-1.,0.,0.)); +#10137=DIRECTION('',(1.,0.,0.)); +#10138=DIRECTION('',(0.,1.,0.)); +#10139=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10140=DIRECTION('',(0.,1.,0.)); +#10141=DIRECTION('center_axis',(1.,0.,0.)); +#10142=DIRECTION('ref_axis',(0.,0.,-1.)); +#10143=DIRECTION('',(0.,0.,1.)); +#10144=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10145=DIRECTION('',(0.,1.,0.)); +#10146=DIRECTION('center_axis',(0.,0.,1.)); +#10147=DIRECTION('ref_axis',(1.,0.,0.)); +#10148=DIRECTION('',(-1.,0.,0.)); +#10149=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10150=DIRECTION('',(0.,1.,0.)); +#10151=DIRECTION('center_axis',(-1.,0.,0.)); +#10152=DIRECTION('ref_axis',(0.,0.,1.)); +#10153=DIRECTION('',(0.,0.,-1.)); +#10154=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10155=DIRECTION('',(0.,1.,0.)); +#10156=DIRECTION('center_axis',(0.,0.,-1.)); +#10157=DIRECTION('ref_axis',(-1.,0.,0.)); +#10158=DIRECTION('',(1.,0.,0.)); +#10159=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10160=DIRECTION('',(0.,1.,0.)); +#10161=DIRECTION('center_axis',(-1.,0.,0.)); +#10162=DIRECTION('ref_axis',(0.,0.,1.)); +#10163=DIRECTION('',(0.,0.,-1.)); +#10164=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10165=DIRECTION('',(0.,1.,0.)); +#10166=DIRECTION('center_axis',(0.,0.,1.)); +#10167=DIRECTION('ref_axis',(1.,0.,0.)); +#10168=DIRECTION('',(1.,0.,0.)); +#10169=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10170=DIRECTION('',(0.,1.,0.)); +#10171=DIRECTION('center_axis',(-1.,0.,0.)); +#10172=DIRECTION('ref_axis',(0.,0.,1.)); +#10173=DIRECTION('',(0.,0.,1.)); +#10174=DIRECTION('',(0.,-5.81390564519603E-49,1.)); +#10175=DIRECTION('',(0.,1.,0.)); +#10176=DIRECTION('center_axis',(0.,0.,-1.)); +#10177=DIRECTION('ref_axis',(-1.,0.,0.)); +#10178=DIRECTION('',(-1.,0.,0.)); +#10179=DIRECTION('',(-1.,2.10000773391932E-32,0.)); +#10180=DIRECTION('',(0.,1.,0.)); +#10181=DIRECTION('center_axis',(1.,0.,0.)); +#10182=DIRECTION('ref_axis',(0.,0.,-1.)); +#10183=DIRECTION('',(0.,0.,-1.)); +#10184=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10185=DIRECTION('',(0.,1.,0.)); +#10186=DIRECTION('center_axis',(0.,0.,1.)); +#10187=DIRECTION('ref_axis',(1.,0.,0.)); +#10188=DIRECTION('',(1.,0.,0.)); +#10189=DIRECTION('',(1.,-2.10000773391932E-32,0.)); +#10190=DIRECTION('',(0.,1.,0.)); +#10191=DIRECTION('center_axis',(1.,0.,0.)); +#10192=DIRECTION('ref_axis',(0.,0.,-1.)); +#10193=DIRECTION('',(0.,0.,1.)); +#10194=DIRECTION('',(0.,5.81390564519603E-49,-1.)); +#10195=DIRECTION('center_axis',(0.,-1.,0.)); +#10196=DIRECTION('ref_axis',(1.,0.,0.)); +#10197=DIRECTION('center_axis',(0.,0.,-1.)); +#10198=DIRECTION('ref_axis',(-1.,0.,0.)); +#10199=DIRECTION('',(-0.652523135359878,-0.757768802353405,0.)); +#10200=DIRECTION('',(0.,1.,0.)); +#10201=DIRECTION('',(-1.,0.,0.)); +#10202=DIRECTION('',(0.,-1.,0.)); +#10203=DIRECTION('',(-0.652523135359878,0.757768802353405,0.)); +#10204=DIRECTION('',(-1.,2.96823054920805E-17,0.)); +#10205=DIRECTION('center_axis',(0.757768802353405,-0.652523135359878,0.)); +#10206=DIRECTION('ref_axis',(0.,0.,-1.)); +#10207=DIRECTION('',(0.601403452065723,0.698404008850516,0.388002227139175)); +#10208=DIRECTION('',(5.00641875002992E-49,5.81390564519603E-49,-1.)); +#10209=DIRECTION('',(-0.478739500834176,-0.555955549355816,0.679501226990442)); +#10210=DIRECTION('',(0.,0.,1.)); +#10211=DIRECTION('center_axis',(-0.757768802353405,-0.652523135359878,5.73977236591486E-17)); +#10212=DIRECTION('ref_axis',(7.57456937800663E-17,0.,1.)); +#10213=DIRECTION('',(0.,-8.79627411639478E-17,-1.)); +#10214=DIRECTION('',(-0.478739500834176,0.555955549355816,-0.679501226990442)); +#10215=DIRECTION('',(7.57456937800663E-17,-2.17205599201184E-48,1.)); +#10216=DIRECTION('',(0.601403452065723,-0.698404008850516,-0.388002227139175)); +#10217=DIRECTION('center_axis',(2.10000773391932E-32,1.,5.81390564519603E-49)); +#10218=DIRECTION('ref_axis',(0.,-5.81390564519603E-49,1.)); +#10219=DIRECTION('',(1.,-2.10000773391932E-32,1.64901697178225E-17)); +#10220=DIRECTION('',(1.,-2.10000773391932E-32,2.56667611923472E-32)); +#10221=DIRECTION('center_axis',(0.,0.,1.)); +#10222=DIRECTION('ref_axis',(1.,0.,0.)); +#10223=DIRECTION('',(1.,0.,0.)); +#10224=DIRECTION('',(0.,-1.,0.)); +#10225=DIRECTION('',(1.,0.,0.)); +#10226=DIRECTION('',(0.,1.,0.)); +#10227=DIRECTION('center_axis',(0.,-0.773957299203321,-0.633237790257263)); +#10228=DIRECTION('ref_axis',(-1.,0.,0.)); +#10229=DIRECTION('',(0.,0.633237790257263,-0.773957299203321)); +#10230=DIRECTION('',(0.,0.633237790257263,-0.773957299203321)); +#10231=DIRECTION('center_axis',(1.,0.,0.)); +#10232=DIRECTION('ref_axis',(0.,0.,-1.)); +#10233=DIRECTION('',(0.,0.,-1.)); +#10234=DIRECTION('center_axis',(-1.,0.,0.)); +#10235=DIRECTION('ref_axis',(0.,0.,1.)); +#10236=DIRECTION('',(0.,0.,1.)); +#10237=DIRECTION('center_axis',(-1.44150018433136E-17,-0.485642931178632, +0.874157276121538)); +#10238=DIRECTION('ref_axis',(1.,0.,1.64901697178225E-17)); +#10239=DIRECTION('center_axis',(0.,1.,0.)); +#10240=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); +#10241=DIRECTION('center_axis',(-0.757768802353405,-0.652523135359878,5.73977236591486E-17)); +#10242=DIRECTION('ref_axis',(0.652523135359878,-0.757768802353405,0.)); +#10243=DIRECTION('',(0.,-1.,0.)); +#10244=DIRECTION('center_axis',(0.,1.,0.)); +#10245=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); +#10246=DIRECTION('',(0.,1.,0.)); +#10247=DIRECTION('center_axis',(-0.728532057602356,0.401739783531447,0.554838884158081)); +#10248=DIRECTION('ref_axis',(-0.220689968627939,0.629132682356674,-0.745310677327043)); +#10249=DIRECTION('center_axis',(0.,0.,1.)); +#10250=DIRECTION('ref_axis',(-0.875683887990541,0.482884798180446,0.)); +#10251=DIRECTION('',(-0.761224685101135,0.566880700921652,0.314933722734251)); +#10252=DIRECTION('center_axis',(-2.10000773391932E-32,-1.,-5.81390564519603E-49)); +#10253=DIRECTION('ref_axis',(0.79555444235223,0.,-0.605882108378876)); +#10254=DIRECTION('',(0.5316781998456,-0.617432748207792,-0.579737089765279)); +#10255=DIRECTION('center_axis',(0.,0.,-1.)); +#10256=DIRECTION('ref_axis',(-1.,0.,0.)); +#10257=DIRECTION('',(1.,0.,0.)); +#10258=DIRECTION('',(0.,-1.,0.)); +#10259=DIRECTION('center_axis',(0.,0.,1.)); +#10260=DIRECTION('ref_axis',(0.875683887990544,0.48288479818044,0.)); +#10261=DIRECTION('',(-1.,0.,0.)); +#10262=DIRECTION('center_axis',(0.,1.,0.)); +#10263=DIRECTION('ref_axis',(1.,0.,0.)); +#10264=DIRECTION('',(0.,0.,1.)); +#10265=DIRECTION('center_axis',(0.,1.,0.)); +#10266=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); +#10267=DIRECTION('',(-1.,0.,0.)); +#10268=DIRECTION('center_axis',(0.,1.,0.)); +#10269=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); +#10270=DIRECTION('',(0.,0.,-1.)); +#10271=DIRECTION('center_axis',(0.,1.,0.)); +#10272=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); +#10273=DIRECTION('center_axis',(0.,1.,0.)); +#10274=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); +#10275=DIRECTION('',(0.,1.,0.)); +#10276=DIRECTION('center_axis',(0.757768802353405,-0.652523135359878,0.)); +#10277=DIRECTION('ref_axis',(-0.652523135359878,-0.757768802353405,0.)); +#10278=DIRECTION('center_axis',(0.728532057602356,0.401739783531447,0.554838884158081)); +#10279=DIRECTION('ref_axis',(-0.571083450168931,0.80350157875851,0.168074108284802)); +#10280=DIRECTION('',(0.5316781998456,0.617432748207792,0.579737089765279)); +#10281=DIRECTION('center_axis',(-2.10000773391932E-32,-1.,-5.81390564519603E-49)); +#10282=DIRECTION('ref_axis',(0.795554442352232,0.,0.605882108378874)); +#10283=DIRECTION('',(-0.761224685101135,-0.566880700921652,-0.314933722734251)); +#10284=DIRECTION('center_axis',(0.,1.,0.)); +#10285=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); +#10286=DIRECTION('',(0.,1.,0.)); +#10287=DIRECTION('center_axis',(0.,-0.773957299203321,-0.633237790257263)); +#10288=DIRECTION('ref_axis',(0.,-0.633237790257262,0.773957299203321)); +#10289=DIRECTION('center_axis',(0.757768802353405,-0.652523135359878,0.)); +#10290=DIRECTION('ref_axis',(-0.652523135359878,-0.757768802353405,0.)); +#10291=DIRECTION('',(0.,-1.,0.)); +#10292=DIRECTION('center_axis',(-0.478739500834176,-0.555955549355816,0.679501226990442)); +#10293=DIRECTION('ref_axis',(-0.436767042579942,0.822202812740954,0.364989157697643)); +#10294=DIRECTION('',(0.478739500834176,0.555955549355816,-0.679501226990442)); +#10295=DIRECTION('center_axis',(-2.10000773391932E-32,-1.,-5.81390564519603E-49)); +#10296=DIRECTION('ref_axis',(-0.575953532433186,0.,0.817482433130973)); +#10297=DIRECTION('',(-0.478739500834176,-0.555955549355816,0.679501226990442)); +#10298=DIRECTION('center_axis',(0.,0.,1.)); +#10299=DIRECTION('ref_axis',(1.,0.,0.)); +#10300=DIRECTION('',(0.,-1.,0.)); +#10301=DIRECTION('',(1.,0.,0.)); +#10302=DIRECTION('center_axis',(-1.,0.,0.)); +#10303=DIRECTION('ref_axis',(0.,0.,1.)); +#10304=DIRECTION('',(0.,0.,1.)); +#10305=DIRECTION('center_axis',(0.,1.,0.)); +#10306=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); +#10307=DIRECTION('',(0.,1.,0.)); +#10308=DIRECTION('center_axis',(-0.757768802353405,-0.652523135359878,5.73977236591486E-17)); +#10309=DIRECTION('ref_axis',(0.652523135359878,-0.757768802353405,0.)); +#10310=DIRECTION('center_axis',(0.,-0.773957299203321,-0.633237790257263)); +#10311=DIRECTION('ref_axis',(0.,-0.633237790257262,0.773957299203321)); +#10312=DIRECTION('center_axis',(0.478739500834176,-0.555955549355816,0.679501226990442)); +#10313=DIRECTION('ref_axis',(0.436767042579942,0.822202812740954,0.364989157697643)); +#10314=DIRECTION('',(-0.478739500834176,0.555955549355816,-0.679501226990442)); +#10315=DIRECTION('center_axis',(-2.10000773391932E-32,-1.,-5.81390564519603E-49)); +#10316=DIRECTION('ref_axis',(0.575953532433186,0.,0.817482433130972)); +#10317=DIRECTION('',(0.478739500834176,-0.555955549355816,0.679501226990442)); +#10318=DIRECTION('center_axis',(0.,-0.773957299203321,-0.633237790257263)); +#10319=DIRECTION('ref_axis',(-1.,0.,0.)); +#10320=DIRECTION('',(1.,0.,0.)); +#10321=DIRECTION('center_axis',(2.10000773391932E-32,1.,5.81390564519603E-49)); +#10322=DIRECTION('ref_axis',(0.,-5.81390564519603E-49,1.)); +#10323=DIRECTION('',(0.,0.,-1.)); +#10324=DIRECTION('',(-1.,0.,0.)); +#10325=DIRECTION('',(0.,0.,1.)); +#10326=DIRECTION('center_axis',(-1.44150018433136E-17,-0.485642931178632, +0.874157276121538)); +#10327=DIRECTION('ref_axis',(1.,0.,1.64901697178225E-17)); +#10328=DIRECTION('center_axis',(1.,0.,0.)); +#10329=DIRECTION('ref_axis',(0.,0.,-1.)); +#10330=DIRECTION('',(0.,0.,-1.)); +#10331=DIRECTION('center_axis',(-0.757768802353405,-0.652523135359878,5.73977236591486E-17)); +#10332=DIRECTION('ref_axis',(7.57456937800663E-17,0.,1.)); +#10333=DIRECTION('center_axis',(0.757768802353405,-0.652523135359878,0.)); +#10334=DIRECTION('ref_axis',(0.,0.,-1.)); +#10335=DIRECTION('',(0.,0.,1.)); +#10336=DIRECTION('',(1.,0.,0.)); +#10337=DIRECTION('',(0.,0.,1.)); +#10338=DIRECTION('',(1.,0.,0.)); +#10339=CARTESIAN_POINT('',(0.,0.,0.)); +#10340=CARTESIAN_POINT('',(0.,0.,0.)); +#10341=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10342=CARTESIAN_POINT('',(5.20332839125818,0.4,2.11922280604581)); +#10343=CARTESIAN_POINT('',(5.20789763132731,0.4,2.13954847123888)); +#10344=CARTESIAN_POINT('',(5.18743699391683,0.4,2.04853200912476)); +#10345=CARTESIAN_POINT('',(5.20789747371562,0.4,2.13990318551281)); +#10346=CARTESIAN_POINT('',(5.20789763132731,0.4,2.13954847123888)); +#10347=CARTESIAN_POINT('',(5.20789722222222,0.4,2.13990277777778)); +#10348=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10349=CARTESIAN_POINT('',(5.13334623536076,0.4,1.95825325437063)); +#10350=CARTESIAN_POINT('',(5.18778888888889,0.4,2.04888611111111)); +#10351=CARTESIAN_POINT('',(5.12675833333334,0.4,1.94728611111111)); +#10352=CARTESIAN_POINT('',(5.20789722222222,0.4,2.13990277777778)); +#10353=CARTESIAN_POINT('',(5.18743699391683,0.4,2.04853200912476)); +#10354=CARTESIAN_POINT('',(5.18743699391683,0.4,2.04853200912476)); +#10355=CARTESIAN_POINT('Ctrl Pts',(5.18743699391683,0.4,2.04853200912476)); +#10356=CARTESIAN_POINT('Ctrl Pts',(5.16407941804094,0.4,2.01616395030516)); +#10357=CARTESIAN_POINT('Ctrl Pts',(5.14637843814459,0.4,1.98621471023519)); +#10358=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.4,1.95825325437063)); +#10359=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10360=CARTESIAN_POINT('',(5.12564204003027,0.4,1.94064609060148)); +#10361=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.4,1.94064609060148)); +#10362=CARTESIAN_POINT('Ctrl Pts',(5.12800450711074,0.4,1.94642767698163)); +#10363=CARTESIAN_POINT('Ctrl Pts',(5.13056938685641,0.4,1.95229534163825)); +#10364=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.4,1.95825325437063)); +#10365=CARTESIAN_POINT('',(5.12675833333334,0.4,1.94728611111111)); +#10366=CARTESIAN_POINT('',(5.12675833333334,0.4,1.94728611111111)); +#10367=CARTESIAN_POINT('',(5.10629722222222,0.4,1.82557777777778)); +#10368=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10369=CARTESIAN_POINT('',(5.12303655985908,0.4,1.72629618903508)); +#10370=CARTESIAN_POINT('',(5.10629722222222,0.4,1.82557777777778)); +#10371=CARTESIAN_POINT('',(5.12675833333334,0.4,1.70422222222222)); +#10372=CARTESIAN_POINT('',(5.10629722222222,0.4,1.82557777777778)); +#10373=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.4,1.94064609060148)); +#10374=CARTESIAN_POINT('Ctrl Pts',(5.09054577440441,0.4,1.85475617788552)); +#10375=CARTESIAN_POINT('Ctrl Pts',(5.10012074475795,0.4,1.78786321379216)); +#10376=CARTESIAN_POINT('Ctrl Pts',(5.12303655985907,0.4,1.72629618903508)); +#10377=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10378=CARTESIAN_POINT('',(5.16093901740718,0.4,1.64159056412159)); +#10379=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.4,1.64159056412159)); +#10380=CARTESIAN_POINT('Ctrl Pts',(5.14752646131835,0.4,1.66959555206537)); +#10381=CARTESIAN_POINT('Ctrl Pts',(5.13380779486187,0.4,1.69735753295173)); +#10382=CARTESIAN_POINT('Ctrl Pts',(5.1230365598591,0.4,1.72629618903501)); +#10383=CARTESIAN_POINT('',(5.12675833333334,0.4,1.70422222222222)); +#10384=CARTESIAN_POINT('',(5.12675833333334,0.4,1.70422222222222)); +#10385=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#10386=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10387=CARTESIAN_POINT('',(5.19693653495094,0.4,1.55564059739983)); +#10388=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#10389=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#10390=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#10391=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.4,1.64159056412159)); +#10392=CARTESIAN_POINT('Ctrl Pts',(5.17431962672079,0.4,1.61365228002225)); +#10393=CARTESIAN_POINT('Ctrl Pts',(5.18739558215166,0.4,1.58547214510242)); +#10394=CARTESIAN_POINT('Ctrl Pts',(5.19693653495094,0.4,1.55564059739983)); +#10395=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10396=CARTESIAN_POINT('',(5.20770242029022,0.4,1.51238818086132)); +#10397=CARTESIAN_POINT('Ctrl Pts',(5.20770242029022,0.4,1.51238818086132)); +#10398=CARTESIAN_POINT('Ctrl Pts',(5.20511572752383,0.4,1.52726685214315)); +#10399=CARTESIAN_POINT('Ctrl Pts',(5.20141568507635,0.4,1.54163570884786)); +#10400=CARTESIAN_POINT('Ctrl Pts',(5.196936534951,0.4,1.55564059739965)); +#10401=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#10402=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#10403=CARTESIAN_POINT('',(-3.15928611111111,0.4,-2.14705277777778)); +#10404=CARTESIAN_POINT('',(-3.0785,0.4,-2.17774444444444)); +#10405=CARTESIAN_POINT('',(-3.15928611111111,0.4,-2.14705277777778)); +#10406=CARTESIAN_POINT('',(-3.07814722222222,0.4,-2.17774444444444)); +#10407=CARTESIAN_POINT('',(-3.0785,0.4,-2.17774444444444)); +#10408=CARTESIAN_POINT('',(-3.15928611111111,0.4,-2.14705277777778)); +#10409=CARTESIAN_POINT('Origin',(-5.7455,2.,6.619475)); +#10410=CARTESIAN_POINT('',(5.56279166666667,0.4,6.90159816913354)); +#10411=CARTESIAN_POINT('',(-5.7455,0.4,6.90159816913354)); +#10412=CARTESIAN_POINT('',(-2.91851527777778,0.4,6.90159816913354)); +#10413=CARTESIAN_POINT('',(5.56279166666667,2.,6.619475)); +#10414=CARTESIAN_POINT('',(5.56279166666667,2.,6.619475)); +#10415=CARTESIAN_POINT('',(-5.7455,2.,6.619475)); +#10416=CARTESIAN_POINT('',(5.56279166666667,2.,6.619475)); +#10417=CARTESIAN_POINT('',(-5.7455,2.,6.619475)); +#10418=CARTESIAN_POINT('Origin',(5.56279166666667,2.,5.86724381173787)); +#10419=CARTESIAN_POINT('',(6.59527472067924,0.4,5.92943448499605)); +#10420=CARTESIAN_POINT('Origin',(5.56279166666667,0.4,5.86724381173787)); +#10421=CARTESIAN_POINT('',(6.31315155029297,2.,5.92027027342055)); +#10422=CARTESIAN_POINT('Ctrl Pts',(6.31315155029297,2.,5.92027027342056)); +#10423=CARTESIAN_POINT('Ctrl Pts',(6.44299326862168,1.26363102696672,5.92485238074126)); +#10424=CARTESIAN_POINT('Ctrl Pts',(6.59527472068167,0.399999999986162,5.92943448499613)); +#10425=CARTESIAN_POINT('Origin',(5.56279166666667,2.,5.86724381173787)); +#10426=CARTESIAN_POINT('Origin',(6.31315155029297,2.,5.92027027342055)); +#10427=CARTESIAN_POINT('',(6.59527316913354,0.4,-5.42083059412091)); +#10428=CARTESIAN_POINT('',(6.59527433012919,0.4,3.07238257706165)); +#10429=CARTESIAN_POINT('',(6.31315,2.,-5.42083055555556)); +#10430=CARTESIAN_POINT('',(6.31315,2.,-5.42083055555556)); +#10431=CARTESIAN_POINT('',(6.31315,2.,-5.42083055555556)); +#10432=CARTESIAN_POINT('Origin',(5.55320591725475,2.,-5.42083045167358)); +#10433=CARTESIAN_POINT('',(5.56443475635698,0.4,-6.46283720338427)); +#10434=CARTESIAN_POINT('Origin',(5.55320591725475,0.4,-5.42083045167358)); +#10435=CARTESIAN_POINT('',(5.56279091305203,2.,-6.18071408536699)); +#10436=CARTESIAN_POINT('Ctrl Pts',(5.56279091305198,2.,-6.18071408536699)); +#10437=CARTESIAN_POINT('Ctrl Pts',(5.5636124882695,1.26301471179212,-6.31066445051051)); +#10438=CARTESIAN_POINT('Ctrl Pts',(5.56443475635699,0.399999999984453,-6.46283720338701)); +#10439=CARTESIAN_POINT('Origin',(5.55320591725475,2.,-5.42083045167358)); +#10440=CARTESIAN_POINT('Origin',(5.56279091305203,2.,-6.18071408536699)); +#10441=CARTESIAN_POINT('',(-5.74707338123597,0.4,-6.46318988499381)); +#10442=CARTESIAN_POINT('',(2.73573883186481,0.4,-6.46292539931884)); +#10443=CARTESIAN_POINT('',(-5.7455,2.,-6.18106666666667)); +#10444=CARTESIAN_POINT('Ctrl Pts',(-5.7455000000002,2.,-6.18106666666666)); +#10445=CARTESIAN_POINT('Ctrl Pts',(-5.74628703705262,1.2630149066236,-6.31101704761107)); +#10446=CARTESIAN_POINT('Ctrl Pts',(-5.74707338123598,0.399999999984453, +-6.46318988499656)); +#10447=CARTESIAN_POINT('',(-5.7455,2.,-6.18106666666667)); +#10448=CARTESIAN_POINT('Origin',(-5.73627158532152,2.,-5.42118323263576)); +#10449=CARTESIAN_POINT('',(-6.77833422372356,0.4,-5.42118323785233)); +#10450=CARTESIAN_POINT('Origin',(-5.73627158532152,0.4,-5.42118323263576)); +#10451=CARTESIAN_POINT('',(-6.49621105459001,2.,-5.42118323644002)); +#10452=CARTESIAN_POINT('',(-6.49621105459001,2.,-5.42118323644002)); +#10453=CARTESIAN_POINT('Origin',(-5.73627158532152,2.,-5.42118323263576)); +#10454=CARTESIAN_POINT('Origin',(-6.49621105459001,2.,-5.42118323644002)); +#10455=CARTESIAN_POINT('',(-6.77833450148773,0.4,5.86828552087945)); +#10456=CARTESIAN_POINT('',(-6.77833423785471,0.4,-2.5983437189966)); +#10457=CARTESIAN_POINT('',(-6.49621111111111,2.,5.86946944444445)); +#10458=CARTESIAN_POINT('',(-6.49621111111111,2.,5.86946944444445)); +#10459=CARTESIAN_POINT('',(-6.49621111111111,2.,5.86946944444445)); +#10460=CARTESIAN_POINT('Origin',(-5.7455,2.,5.86876355701892)); +#10461=CARTESIAN_POINT('Origin',(-5.7455,0.4,5.86876355701892)); +#10462=CARTESIAN_POINT('Origin',(-5.7455,2.,5.86876355701892)); +#10463=CARTESIAN_POINT('Origin',(-0.0915297804090698,2.,0.219204166666667)); +#10464=CARTESIAN_POINT('Origin',(5.12675833333334,0.,1.70422222222222)); +#10465=CARTESIAN_POINT('',(5.16093901740718,0.,1.64159056412159)); +#10466=CARTESIAN_POINT('',(5.12675833333334,0.,1.70422222222222)); +#10467=CARTESIAN_POINT('',(6.18526899381698,0.,-0.235360895542596)); +#10468=CARTESIAN_POINT('',(5.16093901740718,0.,1.64159056412159)); +#10469=CARTESIAN_POINT('',(5.12675833333334,0.,1.70422222222222)); +#10470=CARTESIAN_POINT('Origin',(5.12303655985907,0.,1.72629618903508)); +#10471=CARTESIAN_POINT('',(5.12303655985908,0.,1.72629618903508)); +#10472=CARTESIAN_POINT('',(5.69890356257262,0.,-1.68919086154167)); +#10473=CARTESIAN_POINT('',(5.12303655985908,0.,1.72629618903508)); +#10474=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.,1.94064609060148)); +#10475=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.4,1.94064609060148)); +#10476=CARTESIAN_POINT('Ctrl Pts',(5.0905457744044,0.,1.85475617788552)); +#10477=CARTESIAN_POINT('Ctrl Pts',(5.0905457744044,0.4,1.85475617788552)); +#10478=CARTESIAN_POINT('Ctrl Pts',(5.10012074475795,0.,1.78786321379216)); +#10479=CARTESIAN_POINT('Ctrl Pts',(5.10012074475795,0.4,1.78786321379216)); +#10480=CARTESIAN_POINT('Ctrl Pts',(5.12303655985908,0.,1.72629618903508)); +#10481=CARTESIAN_POINT('Ctrl Pts',(5.12303655985908,0.4,1.72629618903508)); +#10482=CARTESIAN_POINT('',(5.12564204003027,0.,1.94064609060148)); +#10483=CARTESIAN_POINT('Ctrl Pts',(5.12303655985907,0.,1.72629618903508)); +#10484=CARTESIAN_POINT('Ctrl Pts',(5.10012074475795,0.,1.78786321379216)); +#10485=CARTESIAN_POINT('Ctrl Pts',(5.09054577440441,0.,1.85475617788552)); +#10486=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.,1.94064609060148)); +#10487=CARTESIAN_POINT('',(5.12564204003027,0.,1.94064609060148)); +#10488=CARTESIAN_POINT('Origin',(5.12675833333334,0.,1.94728611111111)); +#10489=CARTESIAN_POINT('',(5.12675833333334,0.,1.94728611111111)); +#10490=CARTESIAN_POINT('',(4.39081987132546,0.,-2.43027887841833)); +#10491=CARTESIAN_POINT('',(5.12675833333334,0.,1.94728611111111)); +#10492=CARTESIAN_POINT('Origin',(5.13334623536076,0.,1.95825325437063)); +#10493=CARTESIAN_POINT('',(5.13334623536076,0.,1.95825325437063)); +#10494=CARTESIAN_POINT('',(2.64491587044067,0.,-2.18433602364666)); +#10495=CARTESIAN_POINT('',(5.13334623536076,0.,1.95825325437063)); +#10496=CARTESIAN_POINT('Ctrl Pts',(5.18743699391683,0.,2.04853200912476)); +#10497=CARTESIAN_POINT('Ctrl Pts',(5.18743699391683,0.4,2.04853200912476)); +#10498=CARTESIAN_POINT('Ctrl Pts',(5.16407941804094,0.,2.01616395030516)); +#10499=CARTESIAN_POINT('Ctrl Pts',(5.16407941804094,0.4,2.01616395030516)); +#10500=CARTESIAN_POINT('Ctrl Pts',(5.14637843814459,0.,1.98621471023519)); +#10501=CARTESIAN_POINT('Ctrl Pts',(5.14637843814459,0.4,1.98621471023519)); +#10502=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.,1.95825325437063)); +#10503=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.4,1.95825325437063)); +#10504=CARTESIAN_POINT('',(5.18743699391683,0.,2.04853200912476)); +#10505=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.,1.95825325437063)); +#10506=CARTESIAN_POINT('Ctrl Pts',(5.14637843814459,0.,1.98621471023519)); +#10507=CARTESIAN_POINT('Ctrl Pts',(5.16407941804094,0.,2.01616395030516)); +#10508=CARTESIAN_POINT('Ctrl Pts',(5.18743699391683,0.,2.04853200912476)); +#10509=CARTESIAN_POINT('',(5.18743699391683,0.,2.04853200912476)); +#10510=CARTESIAN_POINT('Origin',(5.20332839125818,0.,2.11922280604581)); +#10511=CARTESIAN_POINT('',(5.20332839125818,0.,2.11922280604581)); +#10512=CARTESIAN_POINT('',(4.18822326952472,0.,-2.39633919725559)); +#10513=CARTESIAN_POINT('',(5.20332839125818,0.,2.11922280604581)); +#10514=CARTESIAN_POINT('Origin',(5.20789731981513,0.,2.13990321951408)); +#10515=CARTESIAN_POINT('',(5.20789747371562,0.,2.13990318551281)); +#10516=CARTESIAN_POINT('',(4.20850621047935,0.,-2.3836565385319)); +#10517=CARTESIAN_POINT('',(5.20789747371562,0.,2.13990318551281)); +#10518=CARTESIAN_POINT('Origin',(5.20789763132731,0.,2.13954847123888)); +#10519=CARTESIAN_POINT('',(5.20789763132731,0.,2.13954847123888)); +#10520=CARTESIAN_POINT('',(5.20789763132731,0.,-2.01924529069182)); +#10521=CARTESIAN_POINT('',(5.20789763132731,0.,2.13954847123888)); +#10522=CARTESIAN_POINT('Origin',(5.20332839125818,0.,2.11922280604581)); +#10523=CARTESIAN_POINT('',(4.18822326952472,0.,-2.39633919725559)); +#10524=CARTESIAN_POINT('',(5.20332839125818,0.,2.11922280604581)); +#10525=CARTESIAN_POINT('Origin',(5.18778888888889,0.,2.04888611111111)); +#10526=CARTESIAN_POINT('',(5.18778888888889,0.,2.04888611111111)); +#10527=CARTESIAN_POINT('',(4.19845199501623,0.,-2.42916509273338)); +#10528=CARTESIAN_POINT('',(5.18778888888889,0.,2.04888611111111)); +#10529=CARTESIAN_POINT('Origin',(5.13334623536076,0.,1.95825325437063)); +#10530=CARTESIAN_POINT('',(2.64491587044067,0.,-2.18433602364666)); +#10531=CARTESIAN_POINT('',(5.13334623536076,0.,1.95825325437063)); +#10532=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.,1.94064609060148)); +#10533=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.4,1.94064609060148)); +#10534=CARTESIAN_POINT('Ctrl Pts',(5.12800450711074,0.,1.94642767698163)); +#10535=CARTESIAN_POINT('Ctrl Pts',(5.12800450711074,0.4,1.94642767698163)); +#10536=CARTESIAN_POINT('Ctrl Pts',(5.13056938685641,0.,1.95229534163825)); +#10537=CARTESIAN_POINT('Ctrl Pts',(5.13056938685641,0.4,1.95229534163825)); +#10538=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.,1.95825325437063)); +#10539=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.4,1.95825325437063)); +#10540=CARTESIAN_POINT('Ctrl Pts',(5.13334623536076,0.,1.95825325437063)); +#10541=CARTESIAN_POINT('Ctrl Pts',(5.13056938685641,0.,1.95229534163825)); +#10542=CARTESIAN_POINT('Ctrl Pts',(5.12800450711074,0.,1.94642767698163)); +#10543=CARTESIAN_POINT('Ctrl Pts',(5.12564204003027,0.,1.94064609060148)); +#10544=CARTESIAN_POINT('',(5.12564204003027,0.,1.94064609060148)); +#10545=CARTESIAN_POINT('Origin',(5.10629722222222,0.,1.82557777777778)); +#10546=CARTESIAN_POINT('',(5.10629722222222,0.,1.82557777777778)); +#10547=CARTESIAN_POINT('',(4.3805893157699,0.,-2.491133045085)); +#10548=CARTESIAN_POINT('',(5.10629722222222,0.,1.82557777777778)); +#10549=CARTESIAN_POINT('Origin',(5.12303655985907,0.,1.72629618903508)); +#10550=CARTESIAN_POINT('',(5.69890356257262,0.,-1.68919086154167)); +#10551=CARTESIAN_POINT('',(5.12303655985908,0.,1.72629618903508)); +#10552=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.,1.64159056412159)); +#10553=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.4,1.64159056412159)); +#10554=CARTESIAN_POINT('Ctrl Pts',(5.14752646131835,0.,1.66959555206537)); +#10555=CARTESIAN_POINT('Ctrl Pts',(5.14752646131835,0.4,1.66959555206537)); +#10556=CARTESIAN_POINT('Ctrl Pts',(5.13380779486187,0.,1.69735753295173)); +#10557=CARTESIAN_POINT('Ctrl Pts',(5.13380779486187,0.4,1.69735753295173)); +#10558=CARTESIAN_POINT('Ctrl Pts',(5.1230365598591,0.,1.72629618903501)); +#10559=CARTESIAN_POINT('Ctrl Pts',(5.1230365598591,0.4,1.72629618903501)); +#10560=CARTESIAN_POINT('Ctrl Pts',(5.1230365598591,0.,1.72629618903501)); +#10561=CARTESIAN_POINT('Ctrl Pts',(5.13380779486187,0.,1.69735753295173)); +#10562=CARTESIAN_POINT('Ctrl Pts',(5.14752646131835,0.,1.66959555206537)); +#10563=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.,1.64159056412159)); +#10564=CARTESIAN_POINT('',(5.16093901740718,0.,1.64159056412159)); +#10565=CARTESIAN_POINT('Origin',(5.18778888888889,0.,1.59239166666667)); +#10566=CARTESIAN_POINT('',(5.18778888888889,0.,1.59239166666667)); +#10567=CARTESIAN_POINT('',(6.21578427159475,0.,-0.291276173320373)); +#10568=CARTESIAN_POINT('',(5.18778888888889,0.,1.59239166666667)); +#10569=CARTESIAN_POINT('Origin',(5.19693653495094,0.,1.55564059739983)); +#10570=CARTESIAN_POINT('',(5.19693653495094,0.,1.55564059739983)); +#10571=CARTESIAN_POINT('',(5.94901058849463,0.,-1.46584989841595)); +#10572=CARTESIAN_POINT('',(5.19693653495094,0.,1.55564059739983)); +#10573=CARTESIAN_POINT('Ctrl Pts',(5.20770242029022,0.,1.51238818086132)); +#10574=CARTESIAN_POINT('Ctrl Pts',(5.20770242029022,0.4,1.51238818086132)); +#10575=CARTESIAN_POINT('Ctrl Pts',(5.20511572752383,0.,1.52726685214315)); +#10576=CARTESIAN_POINT('Ctrl Pts',(5.20511572752383,0.4,1.52726685214315)); +#10577=CARTESIAN_POINT('Ctrl Pts',(5.20141568507636,0.,1.54163570884786)); +#10578=CARTESIAN_POINT('Ctrl Pts',(5.20141568507636,0.4,1.54163570884786)); +#10579=CARTESIAN_POINT('Ctrl Pts',(5.196936534951,0.,1.55564059739965)); +#10580=CARTESIAN_POINT('Ctrl Pts',(5.196936534951,0.4,1.55564059739965)); +#10581=CARTESIAN_POINT('',(5.20770242029022,0.,1.51238818086132)); +#10582=CARTESIAN_POINT('Ctrl Pts',(5.196936534951,0.,1.55564059739965)); +#10583=CARTESIAN_POINT('Ctrl Pts',(5.20141568507635,0.,1.54163570884786)); +#10584=CARTESIAN_POINT('Ctrl Pts',(5.20511572752383,0.,1.52726685214315)); +#10585=CARTESIAN_POINT('Ctrl Pts',(5.20770242029022,0.,1.51238818086132)); +#10586=CARTESIAN_POINT('',(5.20770242029022,0.,1.51238818086132)); +#10587=CARTESIAN_POINT('Origin',(5.19693653495094,0.,1.55564059739983)); +#10588=CARTESIAN_POINT('',(5.94901058849463,0.,-1.46584989841595)); +#10589=CARTESIAN_POINT('',(5.20770242029022,0.,1.51238818086132)); +#10590=CARTESIAN_POINT('',(5.19693653495094,0.,1.55564059739983)); +#10591=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.,1.64159056412159)); +#10592=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.4,1.64159056412159)); +#10593=CARTESIAN_POINT('Ctrl Pts',(5.17431962672079,0.,1.61365228002225)); +#10594=CARTESIAN_POINT('Ctrl Pts',(5.17431962672079,0.4,1.61365228002225)); +#10595=CARTESIAN_POINT('Ctrl Pts',(5.18739558215166,0.,1.58547214510242)); +#10596=CARTESIAN_POINT('Ctrl Pts',(5.18739558215166,0.4,1.58547214510242)); +#10597=CARTESIAN_POINT('Ctrl Pts',(5.19693653495094,0.,1.55564059739983)); +#10598=CARTESIAN_POINT('Ctrl Pts',(5.19693653495094,0.4,1.55564059739983)); +#10599=CARTESIAN_POINT('Ctrl Pts',(5.19693653495094,0.,1.55564059739983)); +#10600=CARTESIAN_POINT('Ctrl Pts',(5.18739558215166,0.,1.58547214510242)); +#10601=CARTESIAN_POINT('Ctrl Pts',(5.17431962672079,0.,1.61365228002225)); +#10602=CARTESIAN_POINT('Ctrl Pts',(5.16093901740718,0.,1.64159056412159)); +#10603=CARTESIAN_POINT('Origin',(-6.993275,0.,-5.52207777777778)); +#10604=CARTESIAN_POINT('',(-6.840875,0.,-5.38026111111111)); +#10605=CARTESIAN_POINT('',(-6.993275,0.,-5.52207777777778)); +#10606=CARTESIAN_POINT('',(-5.30739103327243,0.,-3.95326908651739)); +#10607=CARTESIAN_POINT('',(-6.840875,0.4,-5.38026111111111)); +#10608=CARTESIAN_POINT('',(-6.840875,0.,-5.38026111111111)); +#10609=CARTESIAN_POINT('',(-6.993275,0.4,-5.52207777777778)); +#10610=CARTESIAN_POINT('',(-6.993275,0.4,-5.52207777777778)); +#10611=CARTESIAN_POINT('',(-6.993275,0.,-5.52207777777778)); +#10612=CARTESIAN_POINT('Origin',(-6.993275,0.,-5.91718888888889)); +#10613=CARTESIAN_POINT('',(-6.993275,0.,-5.91718888888889)); +#10614=CARTESIAN_POINT('',(-6.993275,0.,-6.0476139707557)); +#10615=CARTESIAN_POINT('',(-6.993275,0.4,-5.91718888888889)); +#10616=CARTESIAN_POINT('',(-6.993275,0.4,-5.91718888888889)); +#10617=CARTESIAN_POINT('',(-6.993275,0.,-5.91718888888889)); +#10618=CARTESIAN_POINT('Origin',(6.05950277777778,0.,-6.677425)); +#10619=CARTESIAN_POINT('',(-6.24291666666667,0.,-6.677425)); +#10620=CARTESIAN_POINT('',(6.05950277777778,0.,-6.677425)); +#10621=CARTESIAN_POINT('',(2.98406570314069,0.,-6.677425)); +#10622=CARTESIAN_POINT('',(-6.24291666666667,0.4,-6.677425)); +#10623=CARTESIAN_POINT('',(-6.24291666666667,0.,-6.677425)); +#10624=CARTESIAN_POINT('',(6.05950277777778,0.4,-6.677425)); +#10625=CARTESIAN_POINT('',(6.05950277777778,0.4,-6.677425)); +#10626=CARTESIAN_POINT('',(6.05950277777778,0.,-6.677425)); +#10627=CARTESIAN_POINT('Origin',(6.81021388888889,0.,-5.52207777777778)); +#10628=CARTESIAN_POINT('',(6.81021388888889,0.,-5.91718888888889)); +#10629=CARTESIAN_POINT('',(6.81021388888889,0.,-5.52207777777778)); +#10630=CARTESIAN_POINT('',(6.81021388888889,0.,-5.85005841520014)); +#10631=CARTESIAN_POINT('',(6.81021388888889,0.4,-5.91718888888889)); +#10632=CARTESIAN_POINT('',(6.81021388888889,0.,-5.91718888888889)); +#10633=CARTESIAN_POINT('',(6.81021388888889,0.4,-5.52207777777778)); +#10634=CARTESIAN_POINT('',(6.81021388888889,0.4,-5.52207777777778)); +#10635=CARTESIAN_POINT('',(6.81021388888889,0.,-5.52207777777778)); +#10636=CARTESIAN_POINT('Origin',(6.65816666666667,0.,-5.38026111111111)); +#10637=CARTESIAN_POINT('',(6.65816666666667,0.,-5.38026111111111)); +#10638=CARTESIAN_POINT('',(5.05239703845852,0.,-3.88253630707472)); +#10639=CARTESIAN_POINT('',(6.65816666666667,0.4,-5.38026111111111)); +#10640=CARTESIAN_POINT('',(6.65816666666667,0.4,-5.38026111111111)); +#10641=CARTESIAN_POINT('',(6.65816666666667,0.,-5.38026111111111)); +#10642=CARTESIAN_POINT('Origin',(6.81021388888889,0.,5.97106944444444)); +#10643=CARTESIAN_POINT('',(6.65816666666667,0.,5.81902222222222)); +#10644=CARTESIAN_POINT('',(6.81021388888889,0.,5.97106944444444)); +#10645=CARTESIAN_POINT('',(2.04754044952581,0.,1.20839600508143)); +#10646=CARTESIAN_POINT('',(6.65816666666667,0.4,5.81902222222222)); +#10647=CARTESIAN_POINT('',(6.65816666666667,0.,5.81902222222222)); +#10648=CARTESIAN_POINT('',(6.81021388888889,0.4,5.97106944444444)); +#10649=CARTESIAN_POINT('',(6.81021388888889,0.4,5.97106944444444)); +#10650=CARTESIAN_POINT('',(6.81021388888889,0.,5.97106944444444)); +#10651=CARTESIAN_POINT('Origin',(6.81021388888889,0.,6.36618055555556)); +#10652=CARTESIAN_POINT('',(6.81021388888889,0.,6.36618055555556)); +#10653=CARTESIAN_POINT('',(6.81021388888889,0.,0.0940707514665245)); +#10654=CARTESIAN_POINT('',(6.81021388888889,0.4,6.36618055555556)); +#10655=CARTESIAN_POINT('',(6.81021388888889,0.4,6.36618055555556)); +#10656=CARTESIAN_POINT('',(6.81021388888889,0.,6.36618055555556)); +#10657=CARTESIAN_POINT('Origin',(6.00905555555556,0.,7.12641666666667)); +#10658=CARTESIAN_POINT('',(6.05950277777778,0.,7.11653888888889)); +#10659=CARTESIAN_POINT('',(6.00905555555556,0.,7.12641666666667)); +#10660=CARTESIAN_POINT('',(4.32590693003779,0.,7.45598422970519)); +#10661=CARTESIAN_POINT('',(6.05950277777778,0.4,7.11653888888889)); +#10662=CARTESIAN_POINT('',(6.05950277777778,0.,7.11653888888889)); +#10663=CARTESIAN_POINT('',(6.00905555555556,0.4,7.12641666666667)); +#10664=CARTESIAN_POINT('',(6.00905555555556,0.4,7.12641666666667)); +#10665=CARTESIAN_POINT('',(6.00905555555556,0.,7.12641666666667)); +#10666=CARTESIAN_POINT('Origin',(-6.19176388888889,0.,7.12641666666667)); +#10667=CARTESIAN_POINT('',(-6.19176388888889,0.,7.12641666666667)); +#10668=CARTESIAN_POINT('',(-3.14156763019264,0.,7.12641666666667)); +#10669=CARTESIAN_POINT('',(-6.19176388888889,0.4,7.12641666666667)); +#10670=CARTESIAN_POINT('',(-6.19176388888889,0.4,7.12641666666667)); +#10671=CARTESIAN_POINT('',(-6.19176388888889,0.,7.12641666666667)); +#10672=CARTESIAN_POINT('Origin',(-6.993275,0.,5.97106944444445)); +#10673=CARTESIAN_POINT('',(-6.993275,0.,6.36618055555556)); +#10674=CARTESIAN_POINT('',(-6.993275,0.,5.97106944444445)); +#10675=CARTESIAN_POINT('',(-6.993275,0.,-0.103484804089031)); +#10676=CARTESIAN_POINT('',(-6.993275,0.4,6.36618055555556)); +#10677=CARTESIAN_POINT('',(-6.993275,0.,6.36618055555556)); +#10678=CARTESIAN_POINT('',(-6.993275,0.4,5.97106944444445)); +#10679=CARTESIAN_POINT('',(-6.993275,0.4,5.97071666666667)); +#10680=CARTESIAN_POINT('',(-6.993275,0.,5.97106944444445)); +#10681=CARTESIAN_POINT('Origin',(-6.840875,0.,5.81902222222222)); +#10682=CARTESIAN_POINT('',(-6.840875,0.,5.81902222222222)); +#10683=CARTESIAN_POINT('',(-2.15033134484237,0.,1.13933630700709)); +#10684=CARTESIAN_POINT('',(-6.840875,0.4,5.81902222222222)); +#10685=CARTESIAN_POINT('',(-6.840875,0.4,5.81902222222222)); +#10686=CARTESIAN_POINT('',(-6.840875,0.,5.81902222222222)); +#10687=CARTESIAN_POINT('Origin',(-0.0915305555555535,0.4,0.224495833333335)); +#10688=CARTESIAN_POINT('',(-6.96293611111111,0.4,6.55879722222222)); +#10689=CARTESIAN_POINT('',(-6.993275,0.4,6.36618055555556)); +#10690=CARTESIAN_POINT('',(-6.88144444444444,0.4,6.73095277777778)); +#10691=CARTESIAN_POINT('',(-6.96293611111111,0.4,6.55879722222222)); +#10692=CARTESIAN_POINT('',(-6.75973611111111,0.4,6.89323055555556)); +#10693=CARTESIAN_POINT('',(-6.88144444444444,0.4,6.73095277777778)); +#10694=CARTESIAN_POINT('',(-6.60804166666667,0.4,7.00506111111111)); +#10695=CARTESIAN_POINT('',(-6.75973611111111,0.4,6.89323055555556)); +#10696=CARTESIAN_POINT('',(-6.43553333333333,0.4,7.08584722222222)); +#10697=CARTESIAN_POINT('',(-6.60804166666667,0.4,7.00506111111111)); +#10698=CARTESIAN_POINT('',(-6.24291666666667,0.4,7.11653888888889)); +#10699=CARTESIAN_POINT('',(-6.43553333333333,0.4,7.08584722222222)); +#10700=CARTESIAN_POINT('',(-6.24291666666667,0.4,7.11653888888889)); +#10701=CARTESIAN_POINT('',(6.25247222222222,0.4,7.08584722222222)); +#10702=CARTESIAN_POINT('',(6.05950277777778,0.4,7.11653888888889)); +#10703=CARTESIAN_POINT('',(6.42498055555556,0.4,7.00506111111111)); +#10704=CARTESIAN_POINT('',(6.25247222222222,0.4,7.08584722222222)); +#10705=CARTESIAN_POINT('',(6.57702777777778,0.4,6.89323055555556)); +#10706=CARTESIAN_POINT('',(6.42498055555556,0.4,7.00506111111111)); +#10707=CARTESIAN_POINT('',(6.69873611111111,0.4,6.73095277777778)); +#10708=CARTESIAN_POINT('',(6.57702777777778,0.4,6.89323055555556)); +#10709=CARTESIAN_POINT('',(6.77952222222222,0.4,6.55879722222222)); +#10710=CARTESIAN_POINT('',(6.69873611111111,0.4,6.73095277777778)); +#10711=CARTESIAN_POINT('',(6.77952222222222,0.4,6.55879722222222)); +#10712=CARTESIAN_POINT('',(6.65816666666667,0.4,5.81902222222222)); +#10713=CARTESIAN_POINT('',(6.77952222222222,0.4,-6.11015833333333)); +#10714=CARTESIAN_POINT('',(6.81021388888889,0.4,-5.91718888888889)); +#10715=CARTESIAN_POINT('',(6.69873611111111,0.4,-6.29254444444444)); +#10716=CARTESIAN_POINT('',(6.77952222222222,0.4,-6.11015833333333)); +#10717=CARTESIAN_POINT('',(6.57702777777778,0.4,-6.44459166666667)); +#10718=CARTESIAN_POINT('',(6.69873611111111,0.4,-6.29254444444444)); +#10719=CARTESIAN_POINT('',(6.42498055555556,0.4,-6.55606944444444)); +#10720=CARTESIAN_POINT('',(6.57702777777778,0.4,-6.44459166666667)); +#10721=CARTESIAN_POINT('',(6.25247222222223,0.4,-6.63685555555556)); +#10722=CARTESIAN_POINT('',(6.42498055555556,0.4,-6.55606944444444)); +#10723=CARTESIAN_POINT('',(6.25247222222223,0.4,-6.63685555555556)); +#10724=CARTESIAN_POINT('',(-6.43553333333333,0.4,-6.63685555555556)); +#10725=CARTESIAN_POINT('',(-6.24291666666667,0.4,-6.677425)); +#10726=CARTESIAN_POINT('',(-6.60804166666667,0.4,-6.55606944444444)); +#10727=CARTESIAN_POINT('',(-6.43553333333333,0.4,-6.63685555555556)); +#10728=CARTESIAN_POINT('',(-6.75973611111111,0.4,-6.44459166666667)); +#10729=CARTESIAN_POINT('',(-6.60804166666667,0.4,-6.55606944444444)); +#10730=CARTESIAN_POINT('',(-6.88144444444444,0.4,-6.29254444444444)); +#10731=CARTESIAN_POINT('',(-6.75973611111111,0.4,-6.44459166666667)); +#10732=CARTESIAN_POINT('',(-6.96293611111111,0.4,-6.11015833333333)); +#10733=CARTESIAN_POINT('',(-6.88144444444444,0.4,-6.29254444444444)); +#10734=CARTESIAN_POINT('',(-6.96293611111111,0.4,-6.11015833333333)); +#10735=CARTESIAN_POINT('',(-6.840875,0.4,-5.38026111111111)); +#10736=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10737=CARTESIAN_POINT('',(6.65816666666667,0.,-4.91388888888889)); +#10738=CARTESIAN_POINT('',(6.65816666666667,0.,-1.64011111111111)); +#10739=CARTESIAN_POINT('',(6.65816666666667,0.,-0.179508415200142)); +#10740=CARTESIAN_POINT('',(7.16510833333334,0.,-1.64011111111111)); +#10741=CARTESIAN_POINT('',(6.65816666666667,0.,-1.64011111111111)); +#10742=CARTESIAN_POINT('',(7.16510833333333,0.,-4.91388888888889)); +#10743=CARTESIAN_POINT('',(7.16510833333334,0.,-1.64011111111111)); +#10744=CARTESIAN_POINT('',(7.16510833333333,0.,-4.91388888888889)); +#10745=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10746=CARTESIAN_POINT('',(6.65816666666667,0.,5.52515833333333)); +#10747=CARTESIAN_POINT('',(6.65816666666667,0.,-0.179508415200142)); +#10748=CARTESIAN_POINT('',(6.78096059329406,0.,6.54977020377137)); +#10749=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#10750=CARTESIAN_POINT('',(6.77952222222222,0.,6.55879722222222)); +#10751=CARTESIAN_POINT('',(7.68407986017767,0.,0.881918252984627)); +#10752=CARTESIAN_POINT('',(6.7761667350546,0.,6.56594778002922)); +#10753=CARTESIAN_POINT('',(8.56828482927529,0.,2.74693629540173)); +#10754=CARTESIAN_POINT('',(6.57702777777778,0.,6.89323055555556)); +#10755=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#10756=CARTESIAN_POINT('',(6.25972670557536,0.,7.082449928382)); +#10757=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#10758=CARTESIAN_POINT('',(6.25247222222222,0.,7.08584722222222)); +#10759=CARTESIAN_POINT('',(6.19820764894068,0.,7.11125946615162)); +#10760=CARTESIAN_POINT('',(6.24338558319591,0.,7.08729244634524)); +#10761=CARTESIAN_POINT('',(4.09110668406896,0.,7.42961102810765)); +#10762=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#10763=CARTESIAN_POINT('',(-6.75973611111111,0.,6.89323055555556)); +#10764=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#10765=CARTESIAN_POINT('',(-6.95456071904769,0.,6.57649069117007)); +#10766=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#10767=CARTESIAN_POINT('',(-6.96293611111111,0.,6.55879722222222)); +#10768=CARTESIAN_POINT('',(-8.79673744131147,0.,2.68479268050022)); +#10769=CARTESIAN_POINT('',(-6.96636924877526,0.,6.53700079007541)); +#10770=CARTESIAN_POINT('',(-7.87373124506598,0.,0.776307185718046)); +#10771=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#10772=CARTESIAN_POINT('',(-6.840875,0.,5.52515833333333)); +#10773=CARTESIAN_POINT('',(-6.840875,0.,-5.77915008186681)); +#10774=CARTESIAN_POINT('',(-7.39896944444444,0.,5.52515833333333)); +#10775=CARTESIAN_POINT('',(-7.39896944444444,0.,5.52515833333333)); +#10776=CARTESIAN_POINT('',(-7.59158611111111,0.,5.72800555555556)); +#10777=CARTESIAN_POINT('Origin',(-7.39572952559478,0.,5.72110950216952)); +#10778=CARTESIAN_POINT('',(-7.59158606131871,0.,6.22436454561022)); +#10779=CARTESIAN_POINT('',(-7.59158611111111,0.,5.72800555555556)); +#10780=CARTESIAN_POINT('',(-6.09051666666667,0.,7.724375)); +#10781=CARTESIAN_POINT('Origin',(-6.09051666666666,0.,6.22330523156573)); +#10782=CARTESIAN_POINT('',(5.90780833333334,0.,7.724375)); +#10783=CARTESIAN_POINT('',(5.90780833333334,0.,7.724375)); +#10784=CARTESIAN_POINT('',(7.39829337861803,0.,6.45719782511394)); +#10785=CARTESIAN_POINT('Origin',(5.90780833333334,0.,6.21421377763042)); +#10786=CARTESIAN_POINT('',(7.40887777777778,0.,5.72800555555556)); +#10787=CARTESIAN_POINT('',(7.40887777777778,0.,5.72800555555556)); +#10788=CARTESIAN_POINT('',(7.21590833333333,0.,5.52515833333334)); +#10789=CARTESIAN_POINT('Origin',(7.2179694835578,0.,5.71640749902318)); +#10790=CARTESIAN_POINT('',(6.65816666666667,0.,5.52515833333333)); +#10791=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10792=CARTESIAN_POINT('',(-6.840875,0.,5.36288055555556)); +#10793=CARTESIAN_POINT('',(-6.840875,0.,2.08910277777778)); +#10794=CARTESIAN_POINT('',(-6.840875,0.,-5.77915008186681)); +#10795=CARTESIAN_POINT('',(-7.34781666666667,0.,2.08910277777778)); +#10796=CARTESIAN_POINT('',(-6.840875,0.,2.08910277777778)); +#10797=CARTESIAN_POINT('',(-7.34781666666667,0.,5.35265)); +#10798=CARTESIAN_POINT('',(-7.34781666666667,0.,2.08910277777778)); +#10799=CARTESIAN_POINT('',(-7.34781666666667,0.,5.35265)); +#10800=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10801=CARTESIAN_POINT('',(6.65816666666667,0.,-5.07616666666667)); +#10802=CARTESIAN_POINT('',(6.65816666666667,0.,-0.179508415200142)); +#10803=CARTESIAN_POINT('',(7.21590833333334,0.,-5.07616666666667)); +#10804=CARTESIAN_POINT('',(6.65816666666667,0.,-5.07616666666667)); +#10805=CARTESIAN_POINT('',(7.40887777777778,0.,-5.27901388888889)); +#10806=CARTESIAN_POINT('Origin',(7.21742027704121,0.,-5.2679382949036)); +#10807=CARTESIAN_POINT('',(7.39864730834961,0.,-6.00855855941773)); +#10808=CARTESIAN_POINT('',(7.39864730834961,0.,-6.00855855941773)); +#10809=CARTESIAN_POINT('',(5.86145530853432,0.,-7.27931534399702)); +#10810=CARTESIAN_POINT('Origin',(5.90422168492875,0.,-5.76592512125089)); +#10811=CARTESIAN_POINT('',(-6.09051674471961,0.,-7.27573617166943)); +#10812=CARTESIAN_POINT('',(5.86145530853432,0.,-7.27931534399702)); +#10813=CARTESIAN_POINT('',(-7.58170832660463,0.,-6.00891114340888)); +#10814=CARTESIAN_POINT('Origin',(-6.09227380105902,0.,-5.76674413031496)); +#10815=CARTESIAN_POINT('',(-7.59158611111111,0.,-5.27901388888889)); +#10816=CARTESIAN_POINT('',(-7.58170832660463,0.,-6.00891114340888)); +#10817=CARTESIAN_POINT('',(-7.39896944444444,0.,-5.07616666666667)); +#10818=CARTESIAN_POINT('Origin',(-7.40072347717252,0.,-5.26737575278729)); +#10819=CARTESIAN_POINT('',(-6.840875,0.,-5.07616666666667)); +#10820=CARTESIAN_POINT('',(-7.39896944444444,0.,-5.07616666666667)); +#10821=CARTESIAN_POINT('',(-6.840875,0.,-5.77915008186681)); +#10822=CARTESIAN_POINT('',(-6.97013727787114,0.,-6.06435556335969)); +#10823=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#10824=CARTESIAN_POINT('',(-6.96293611111111,0.,-6.11015833333333)); +#10825=CARTESIAN_POINT('',(-6.87484991289029,0.,-6.67042752434253)); +#10826=CARTESIAN_POINT('',(-6.92653753001701,0.,-6.19162182435346)); +#10827=CARTESIAN_POINT('',(-6.33778304756862,0.,-7.50931042792843)); +#10828=CARTESIAN_POINT('',(-6.92152726474497,0.,-6.20283527520041)); +#10829=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#10830=CARTESIAN_POINT('',(-6.88144444444444,0.,-6.29254444444444)); +#10831=CARTESIAN_POINT('',(-6.33778304756862,0.,-7.50931042792843)); +#10832=CARTESIAN_POINT('',(-6.82059027777782,0.,-6.36856805555551)); +#10833=CARTESIAN_POINT('',(-5.52269262455442,0.,-7.98999961653895)); +#10834=CARTESIAN_POINT('',(-6.81174515252608,0.,-6.37961805260912)); +#10835=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#10836=CARTESIAN_POINT('',(-6.75973611111111,0.,-6.44459166666667)); +#10837=CARTESIAN_POINT('',(-5.52269262455442,0.,-7.98999961653895)); +#10838=CARTESIAN_POINT('',(-6.72850256750482,0.,-6.46754468940989)); +#10839=CARTESIAN_POINT('',(-4.58250828381219,0.,-8.04460095370494)); +#10840=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#10841=CARTESIAN_POINT('',(6.56614786705324,0.,-6.45256858497051)); +#10842=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#10843=CARTESIAN_POINT('',(6.57702777777778,0.,-6.44459166666667)); +#10844=CARTESIAN_POINT('',(4.47206712321945,0.,-7.98790388671406)); +#10845=CARTESIAN_POINT('',(6.58946895062918,0.,-6.42904921594507)); +#10846=CARTESIAN_POINT('',(5.40083173905927,0.,-7.91398439909474)); +#10847=CARTESIAN_POINT('',(6.67548730397186,0.,-6.32158860640682)); +#10848=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#10849=CARTESIAN_POINT('',(6.69873611111111,0.,-6.29254444444444)); +#10850=CARTESIAN_POINT('',(5.40083173905927,0.,-7.91398439909474)); +#10851=CARTESIAN_POINT('',(6.71469732751486,0.,-6.25650973317485)); +#10852=CARTESIAN_POINT('',(6.203484420097,0.,-7.41064542372086)); +#10853=CARTESIAN_POINT('',(6.76558108336719,0.,-6.14163243284447)); +#10854=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#10855=CARTESIAN_POINT('',(6.77952222222222,0.,-6.11015833333333)); +#10856=CARTESIAN_POINT('',(6.203484420097,0.,-7.41064542372086)); +#10857=CARTESIAN_POINT('',(6.7839516366558,0.,-6.08230902649238)); +#10858=CARTESIAN_POINT('',(6.70484176755395,0.,-6.57970096210969)); +#10859=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#10860=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10861=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10862=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10863=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10864=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10865=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#10866=CARTESIAN_POINT('Origin',(6.65816666666667,0.,-5.38026111111111)); +#10867=CARTESIAN_POINT('',(6.65816666666667,-0.8,-5.07616666666667)); +#10868=CARTESIAN_POINT('',(6.65816666666667,0.,-5.07616666666667)); +#10869=CARTESIAN_POINT('',(6.65816666666667,-0.8,5.52515833333333)); +#10870=CARTESIAN_POINT('',(6.65816666666667,0.,5.52515833333333)); +#10871=CARTESIAN_POINT('',(6.65816666666667,-0.8,-1.64011111111111)); +#10872=CARTESIAN_POINT('',(6.65816666666667,-0.8,5.81902222222222)); +#10873=CARTESIAN_POINT('',(6.65816666666667,0.,-1.64011111111111)); +#10874=CARTESIAN_POINT('',(6.65816666666667,-0.8,-4.91388888888889)); +#10875=CARTESIAN_POINT('',(6.65816666666667,0.,-4.91388888888889)); +#10876=CARTESIAN_POINT('',(6.65816666666667,-0.8,5.81902222222222)); +#10877=CARTESIAN_POINT('Origin',(6.77952222222222,0.,-6.11015833333333)); +#10878=CARTESIAN_POINT('',(6.7839516366558,-0.8,-6.08230902649238)); +#10879=CARTESIAN_POINT('',(6.78395163665579,0.,-6.08230902649238)); +#10880=CARTESIAN_POINT('',(6.77952222222222,0.,-6.11015833333333)); +#10881=CARTESIAN_POINT('',(6.81021388888889,-0.8,-5.91718888888889)); +#10882=CARTESIAN_POINT('',(6.81021388888889,0.,-5.91718888888889)); +#10883=CARTESIAN_POINT('',(6.81021388888889,-0.8,-5.91718888888889)); +#10884=CARTESIAN_POINT('Origin',(6.69873611111111,0.,-6.29254444444444)); +#10885=CARTESIAN_POINT('',(6.76558108336719,-0.8,-6.14163243284447)); +#10886=CARTESIAN_POINT('',(6.76558108336719,0.,-6.14163243284448)); +#10887=CARTESIAN_POINT('',(6.71469732751486,-0.8,-6.25650973317485)); +#10888=CARTESIAN_POINT('',(6.77952222222222,-0.8,-6.11015833333333)); +#10889=CARTESIAN_POINT('',(6.71469732751486,0.,-6.25650973317485)); +#10890=CARTESIAN_POINT('',(6.69873611111111,0.,-6.29254444444444)); +#10891=CARTESIAN_POINT('Origin',(6.57702777777778,0.,-6.44459166666667)); +#10892=CARTESIAN_POINT('',(6.67548730397186,-0.8,-6.32158860640682)); +#10893=CARTESIAN_POINT('',(6.67548730397186,0.,-6.32158860640682)); +#10894=CARTESIAN_POINT('',(6.58946895062918,-0.8,-6.42904921594507)); +#10895=CARTESIAN_POINT('',(6.69873611111111,-0.8,-6.29254444444444)); +#10896=CARTESIAN_POINT('',(6.58946895062918,0.,-6.42904921594507)); +#10897=CARTESIAN_POINT('',(6.57702777777778,0.,-6.44459166666667)); +#10898=CARTESIAN_POINT('Origin',(6.42498055555556,0.,-6.55606944444444)); +#10899=CARTESIAN_POINT('',(6.56614786705324,-0.8,-6.45256858497051)); +#10900=CARTESIAN_POINT('',(6.56614786705324,0.,-6.4525685849705)); +#10901=CARTESIAN_POINT('',(6.42498055555556,-0.8,-6.55606944444444)); +#10902=CARTESIAN_POINT('',(6.57702777777778,-0.8,-6.44459166666667)); +#10903=CARTESIAN_POINT('',(6.42498055555556,0.,-6.55606944444444)); +#10904=CARTESIAN_POINT('Origin',(-6.75973611111111,0.,-6.44459166666667)); +#10905=CARTESIAN_POINT('',(-6.72850256750482,-0.8,-6.46754468940989)); +#10906=CARTESIAN_POINT('',(-6.72850256750482,0.,-6.46754468940989)); +#10907=CARTESIAN_POINT('',(-6.75973611111111,0.,-6.44459166666667)); +#10908=CARTESIAN_POINT('',(-6.60804166666667,-0.8,-6.55606944444444)); +#10909=CARTESIAN_POINT('',(-6.60804166666667,0.,-6.55606944444444)); +#10910=CARTESIAN_POINT('',(-6.60804166666667,-0.8,-6.55606944444444)); +#10911=CARTESIAN_POINT('Origin',(-6.88144444444444,0.,-6.29254444444444)); +#10912=CARTESIAN_POINT('',(-6.81174515252608,-0.8,-6.37961805260912)); +#10913=CARTESIAN_POINT('',(-6.81174515252608,0.,-6.37961805260912)); +#10914=CARTESIAN_POINT('',(-6.82059027777782,-0.8,-6.36856805555551)); +#10915=CARTESIAN_POINT('',(-6.75973611111111,-0.8,-6.44459166666667)); +#10916=CARTESIAN_POINT('',(-6.82059027777782,0.,-6.36856805555551)); +#10917=CARTESIAN_POINT('',(-6.88144444444444,0.,-6.29254444444444)); +#10918=CARTESIAN_POINT('Origin',(-6.96293611111111,0.,-6.11015833333333)); +#10919=CARTESIAN_POINT('',(-6.92152726474497,-0.8,-6.20283527520041)); +#10920=CARTESIAN_POINT('',(-6.92152726474498,0.,-6.20283527520038)); +#10921=CARTESIAN_POINT('',(-6.92653753001701,-0.8,-6.19162182435346)); +#10922=CARTESIAN_POINT('',(-6.88144444444444,-0.8,-6.29254444444444)); +#10923=CARTESIAN_POINT('',(-6.926537530017,0.,-6.19162182435349)); +#10924=CARTESIAN_POINT('',(-6.96293611111111,0.,-6.11015833333333)); +#10925=CARTESIAN_POINT('Origin',(-6.993275,0.,-5.91718888888889)); +#10926=CARTESIAN_POINT('',(-6.97013727787114,-0.8,-6.06435556335969)); +#10927=CARTESIAN_POINT('',(-6.97013727787113,0.,-6.0643555633597)); +#10928=CARTESIAN_POINT('',(-6.993275,-0.8,-5.91718888888889)); +#10929=CARTESIAN_POINT('',(-6.96293611111111,-0.8,-6.11015833333333)); +#10930=CARTESIAN_POINT('',(-6.993275,0.,-5.91718888888889)); +#10931=CARTESIAN_POINT('Origin',(-6.840875,0.,2.08910277777778)); +#10932=CARTESIAN_POINT('',(-6.840875,-0.8,-5.07616666666667)); +#10933=CARTESIAN_POINT('',(-6.840875,0.,-5.07616666666667)); +#10934=CARTESIAN_POINT('',(-6.840875,-0.8,2.08910277777778)); +#10935=CARTESIAN_POINT('',(-6.840875,-0.8,-5.38026111111111)); +#10936=CARTESIAN_POINT('',(-6.840875,0.,2.08910277777778)); +#10937=CARTESIAN_POINT('',(-6.840875,-0.8,5.36288055555556)); +#10938=CARTESIAN_POINT('',(-6.840875,0.,5.36288055555556)); +#10939=CARTESIAN_POINT('',(-6.840875,-0.8,5.52515833333333)); +#10940=CARTESIAN_POINT('',(-6.840875,-0.8,-5.38026111111111)); +#10941=CARTESIAN_POINT('',(-6.840875,0.,5.52515833333333)); +#10942=CARTESIAN_POINT('Origin',(-6.96293611111111,0.,6.55879722222222)); +#10943=CARTESIAN_POINT('',(-6.96636924877526,-0.8,6.53700079007541)); +#10944=CARTESIAN_POINT('',(-6.96636924877526,0.,6.53700079007541)); +#10945=CARTESIAN_POINT('',(-6.96293611111111,0.,6.55879722222222)); +#10946=CARTESIAN_POINT('',(-6.993275,-0.8,6.36618055555556)); +#10947=CARTESIAN_POINT('',(-6.993275,0.,6.36618055555556)); +#10948=CARTESIAN_POINT('',(-6.993275,-0.8,6.36618055555556)); +#10949=CARTESIAN_POINT('Origin',(-6.88144444444444,0.,6.73095277777778)); +#10950=CARTESIAN_POINT('',(-6.95456071904769,-0.8,6.57649069117007)); +#10951=CARTESIAN_POINT('',(-6.95456071904769,0.,6.57649069117007)); +#10952=CARTESIAN_POINT('',(-6.88144444444444,-0.8,6.73095277777778)); +#10953=CARTESIAN_POINT('',(-6.96293611111111,-0.8,6.55879722222222)); +#10954=CARTESIAN_POINT('',(-6.88144444444444,0.,6.73095277777778)); +#10955=CARTESIAN_POINT('Origin',(6.25247222222222,0.,7.08584722222222)); +#10956=CARTESIAN_POINT('',(6.24338558319591,-0.8,7.08729244634524)); +#10957=CARTESIAN_POINT('',(6.24338558319592,0.,7.08729244634524)); +#10958=CARTESIAN_POINT('',(6.25247222222222,0.,7.08584722222222)); +#10959=CARTESIAN_POINT('',(6.05950277777778,-0.8,7.11653888888889)); +#10960=CARTESIAN_POINT('',(6.05950277777778,0.,7.11653888888889)); +#10961=CARTESIAN_POINT('',(6.05950277777778,-0.8,7.11653888888889)); +#10962=CARTESIAN_POINT('Origin',(6.42498055555556,0.,7.00506111111111)); +#10963=CARTESIAN_POINT('',(6.25972670557536,-0.8,7.082449928382)); +#10964=CARTESIAN_POINT('',(6.25972670557536,0.,7.08244992838201)); +#10965=CARTESIAN_POINT('',(6.42498055555556,-0.8,7.00506111111111)); +#10966=CARTESIAN_POINT('',(6.25247222222222,-0.8,7.08584722222222)); +#10967=CARTESIAN_POINT('',(6.42498055555556,0.,7.00506111111111)); +#10968=CARTESIAN_POINT('Origin',(6.77952222222222,0.,6.55879722222222)); +#10969=CARTESIAN_POINT('',(6.7761667350546,-0.8,6.56594778002922)); +#10970=CARTESIAN_POINT('',(6.7761667350546,0.,6.56594778002922)); +#10971=CARTESIAN_POINT('',(6.77952222222222,0.,6.55879722222222)); +#10972=CARTESIAN_POINT('',(6.69873611111111,-0.8,6.73095277777778)); +#10973=CARTESIAN_POINT('',(6.69873611111111,0.,6.73095277777778)); +#10974=CARTESIAN_POINT('',(6.69873611111111,-0.8,6.73095277777778)); +#10975=CARTESIAN_POINT('Origin',(6.81021388888889,0.,6.36618055555556)); +#10976=CARTESIAN_POINT('',(6.78096059329406,-0.8,6.54977020377137)); +#10977=CARTESIAN_POINT('',(6.78096059329406,0.,6.54977020377138)); +#10978=CARTESIAN_POINT('',(6.81021388888889,-0.8,6.36618055555556)); +#10979=CARTESIAN_POINT('',(6.77952222222222,-0.8,6.55879722222222)); +#10980=CARTESIAN_POINT('',(6.81021388888889,0.,6.36618055555556)); +#10981=CARTESIAN_POINT('Origin',(-3.0785,0.,-2.17774444444444)); +#10982=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#10983=CARTESIAN_POINT('',(-3.15928611111111,0.,-2.14705277777778)); +#10984=CARTESIAN_POINT('',(-3.0785,-0.8,-2.17774444444444)); +#10985=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#10986=CARTESIAN_POINT('',(-3.0785,0.,-2.17774444444444)); +#10987=CARTESIAN_POINT('Origin',(-3.07814722222222,0.,-2.17774444444444)); +#10988=CARTESIAN_POINT('',(-3.07814722222222,-0.8,-2.17774444444444)); +#10989=CARTESIAN_POINT('',(-3.0785,-0.8,-2.17774444444444)); +#10990=CARTESIAN_POINT('',(-3.07814722222222,0.,-2.17774444444444)); +#10991=CARTESIAN_POINT('Origin',(-3.15928611111111,0.,-2.14705277777778)); +#10992=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#10993=CARTESIAN_POINT('Origin',(5.20770242029022,0.,1.51238818086132)); +#10994=CARTESIAN_POINT('',(5.20789736833136,-0.8,1.51145862257969)); +#10995=CARTESIAN_POINT('',(5.20789722222223,0.4,1.51160555555555)); +#10996=CARTESIAN_POINT('',(5.20789722222223,0.,1.51160555555555)); +#10997=CARTESIAN_POINT('',(5.20770242029022,-0.8,1.51238818086132)); +#10998=CARTESIAN_POINT('',(5.18778888888889,-0.8,1.59239166666667)); +#10999=CARTESIAN_POINT('',(5.20770242029022,0.,1.51238818086132)); +#11000=CARTESIAN_POINT('',(5.18778888888889,0.4,1.59239166666667)); +#11001=CARTESIAN_POINT('Origin',(5.20789757358707,0.,1.51125220954144)); +#11002=CARTESIAN_POINT('',(5.20789763132731,-0.8,1.51125187344021)); +#11003=CARTESIAN_POINT('Origin',(7.16510833333334,0.,-1.64011111111111)); +#11004=CARTESIAN_POINT('',(7.16510833333334,-0.8,-1.64011111111111)); +#11005=CARTESIAN_POINT('',(6.65816666666667,-0.8,-1.64011111111111)); +#11006=CARTESIAN_POINT('',(7.16510833333334,0.,-1.64011111111111)); +#11007=CARTESIAN_POINT('Origin',(7.16510833333333,0.,-4.91388888888889)); +#11008=CARTESIAN_POINT('',(7.16510833333333,-0.8,-4.91388888888889)); +#11009=CARTESIAN_POINT('',(7.16510833333334,-0.8,-1.64011111111111)); +#11010=CARTESIAN_POINT('',(7.16510833333333,0.,-4.91388888888889)); +#11011=CARTESIAN_POINT('Origin',(6.65816666666667,0.,-4.91388888888889)); +#11012=CARTESIAN_POINT('',(7.16510833333333,-0.8,-4.91388888888889)); +#11013=CARTESIAN_POINT('Origin',(6.25247222222223,0.,-6.63685555555556)); +#11014=CARTESIAN_POINT('',(6.25247222222223,-0.8,-6.63685555555556)); +#11015=CARTESIAN_POINT('',(6.42498055555556,-0.8,-6.55606944444444)); +#11016=CARTESIAN_POINT('',(6.25247222222223,0.,-6.63685555555556)); +#11017=CARTESIAN_POINT('Origin',(6.05950277777778,0.,-6.677425)); +#11018=CARTESIAN_POINT('',(6.05950277777778,-0.8,-6.677425)); +#11019=CARTESIAN_POINT('',(6.25247222222223,-0.8,-6.63685555555556)); +#11020=CARTESIAN_POINT('',(6.05950277777778,0.,-6.677425)); +#11021=CARTESIAN_POINT('Origin',(-6.43553333333333,0.,-6.63685555555556)); +#11022=CARTESIAN_POINT('',(-6.24291666666667,-0.8,-6.677425)); +#11023=CARTESIAN_POINT('',(-6.24291666666667,0.,-6.677425)); +#11024=CARTESIAN_POINT('',(-6.43553333333333,-0.8,-6.63685555555556)); +#11025=CARTESIAN_POINT('',(-6.24291666666667,-0.8,-6.677425)); +#11026=CARTESIAN_POINT('',(-6.43553333333333,0.,-6.63685555555556)); +#11027=CARTESIAN_POINT('Origin',(-6.60804166666667,0.,-6.55606944444444)); +#11028=CARTESIAN_POINT('',(-6.43553333333333,-0.8,-6.63685555555556)); +#11029=CARTESIAN_POINT('Origin',(-7.34781666666667,0.,2.08910277777778)); +#11030=CARTESIAN_POINT('',(-7.34781666666667,-0.8,2.08910277777778)); +#11031=CARTESIAN_POINT('',(-6.840875,-0.8,2.08910277777778)); +#11032=CARTESIAN_POINT('',(-7.34781666666667,0.,2.08910277777778)); +#11033=CARTESIAN_POINT('Origin',(-7.34781666666667,0.,5.35265)); +#11034=CARTESIAN_POINT('',(-7.34781666666667,-0.8,5.35265)); +#11035=CARTESIAN_POINT('',(-7.34781666666667,-0.8,2.08910277777778)); +#11036=CARTESIAN_POINT('',(-7.34781666666667,0.,5.35265)); +#11037=CARTESIAN_POINT('Origin',(-6.840875,0.,5.36288055555556)); +#11038=CARTESIAN_POINT('',(-7.34781666666667,-0.8,5.35265)); +#11039=CARTESIAN_POINT('Origin',(-6.75973611111111,0.,6.89323055555556)); +#11040=CARTESIAN_POINT('',(-6.75973611111111,-0.8,6.89323055555556)); +#11041=CARTESIAN_POINT('',(-6.88144444444444,-0.8,6.73095277777778)); +#11042=CARTESIAN_POINT('',(-6.75973611111111,0.,6.89323055555556)); +#11043=CARTESIAN_POINT('',(-6.75973611111111,0.,6.89323055555556)); +#11044=CARTESIAN_POINT('Origin',(-6.60804166666667,0.,7.00506111111111)); +#11045=CARTESIAN_POINT('',(-6.60804166666667,-0.8,7.00506111111111)); +#11046=CARTESIAN_POINT('',(-6.75973611111111,-0.8,6.89323055555556)); +#11047=CARTESIAN_POINT('',(-6.60804166666667,0.,7.00506111111111)); +#11048=CARTESIAN_POINT('Origin',(-6.43553333333333,0.,7.08584722222222)); +#11049=CARTESIAN_POINT('',(-6.43553333333333,-0.8,7.08584722222222)); +#11050=CARTESIAN_POINT('',(-6.60804166666667,-0.8,7.00506111111111)); +#11051=CARTESIAN_POINT('',(-6.43553333333333,0.,7.08584722222222)); +#11052=CARTESIAN_POINT('Origin',(-6.24291666666667,0.,7.11653888888889)); +#11053=CARTESIAN_POINT('',(-6.24291666666667,-0.8,7.11653888888889)); +#11054=CARTESIAN_POINT('',(-6.43553333333333,-0.8,7.08584722222222)); +#11055=CARTESIAN_POINT('',(-6.24291666666667,0.,7.11653888888889)); +#11056=CARTESIAN_POINT('Origin',(-6.19176388888889,0.,7.12641666666667)); +#11057=CARTESIAN_POINT('',(-6.19176388888889,-0.8,7.12641666666667)); +#11058=CARTESIAN_POINT('',(-6.24291666666667,-0.8,7.11653888888889)); +#11059=CARTESIAN_POINT('',(-6.19176388888889,0.,7.12641666666667)); +#11060=CARTESIAN_POINT('Origin',(6.57702777777778,0.,6.89323055555556)); +#11061=CARTESIAN_POINT('',(6.57702777777778,-0.8,6.89323055555556)); +#11062=CARTESIAN_POINT('',(6.42498055555556,-0.8,7.00506111111111)); +#11063=CARTESIAN_POINT('',(6.57702777777778,0.,6.89323055555556)); +#11064=CARTESIAN_POINT('',(6.57702777777778,0.,6.89323055555556)); +#11065=CARTESIAN_POINT('Origin',(6.69873611111111,0.,6.73095277777778)); +#11066=CARTESIAN_POINT('',(6.57702777777778,-0.8,6.89323055555556)); +#11067=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#11068=CARTESIAN_POINT('',(-6.75973611111111,0.,6.89323055555556)); +#11069=CARTESIAN_POINT('Origin',(-6.19586653801631,-0.8,6.32811048888154)); +#11070=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#11071=CARTESIAN_POINT('Origin',(-6.19586653801631,-0.8,6.32811048888154)); +#11072=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#11073=CARTESIAN_POINT('Origin',(6.02961380193399,-0.8,6.33592466683068)); +#11074=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#11075=CARTESIAN_POINT('',(6.57702777777778,0.,6.89323055555556)); +#11076=CARTESIAN_POINT('Origin',(6.02961380193399,-0.8,6.33592466683068)); +#11077=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#11078=CARTESIAN_POINT('Origin',(6.02961380193399,-0.8,6.33592466683068)); +#11079=CARTESIAN_POINT('Origin',(-7.39572952559478,0.,5.72110950216952)); +#11080=CARTESIAN_POINT('',(-7.39896944444444,-0.8,5.52515833333333)); +#11081=CARTESIAN_POINT('',(-7.39896944444444,0.,5.52515833333333)); +#11082=CARTESIAN_POINT('',(-7.59158611111111,-0.8,5.72800555555556)); +#11083=CARTESIAN_POINT('Origin',(-7.39572952559478,-0.8,5.72110950216952)); +#11084=CARTESIAN_POINT('',(-7.59158611111111,0.,5.72800555555556)); +#11085=CARTESIAN_POINT('Origin',(-7.59158606131871,0.,6.22436454561022)); +#11086=CARTESIAN_POINT('',(-7.59158606131871,-0.8,6.22436454561022)); +#11087=CARTESIAN_POINT('',(-7.59158611111111,-0.8,5.72800555555556)); +#11088=CARTESIAN_POINT('',(-7.59158606131871,0.,6.22436454561022)); +#11089=CARTESIAN_POINT('Origin',(-6.09051666666666,0.,6.22330523156573)); +#11090=CARTESIAN_POINT('',(-6.09051666666667,-0.8,7.724375)); +#11091=CARTESIAN_POINT('Origin',(-6.09051666666666,-0.8,6.22330523156573)); +#11092=CARTESIAN_POINT('',(-6.09051666666667,0.,7.724375)); +#11093=CARTESIAN_POINT('Origin',(5.90780833333334,0.,7.724375)); +#11094=CARTESIAN_POINT('',(5.90780833333334,-0.8,7.724375)); +#11095=CARTESIAN_POINT('',(5.90780833333334,-0.8,7.724375)); +#11096=CARTESIAN_POINT('',(5.90780833333334,0.,7.724375)); +#11097=CARTESIAN_POINT('Origin',(5.90780833333334,0.,6.21421377763042)); +#11098=CARTESIAN_POINT('',(7.39829337861803,-0.8,6.45719782511394)); +#11099=CARTESIAN_POINT('Origin',(5.90780833333334,-0.8,6.21421377763042)); +#11100=CARTESIAN_POINT('',(7.39829337861803,0.,6.45719782511394)); +#11101=CARTESIAN_POINT('Origin',(7.40887777777778,0.,5.72800555555556)); +#11102=CARTESIAN_POINT('',(7.40887777777778,-0.8,5.72800555555556)); +#11103=CARTESIAN_POINT('',(7.40887777777778,-0.8,5.72800555555556)); +#11104=CARTESIAN_POINT('',(7.40887777777778,0.,5.72800555555556)); +#11105=CARTESIAN_POINT('Origin',(7.2179694835578,0.,5.71640749902318)); +#11106=CARTESIAN_POINT('',(7.21590833333333,-0.8,5.52515833333334)); +#11107=CARTESIAN_POINT('Origin',(7.2179694835578,-0.8,5.71640749902318)); +#11108=CARTESIAN_POINT('',(7.21590833333333,0.,5.52515833333334)); +#11109=CARTESIAN_POINT('Origin',(6.65816666666667,0.,5.52515833333333)); +#11110=CARTESIAN_POINT('',(6.65816666666667,-0.8,5.52515833333333)); +#11111=CARTESIAN_POINT('Origin',(6.02961380193399,0.,6.33592466683068)); +#11112=CARTESIAN_POINT('Origin',(6.02961380193399,-0.8,6.33592466683068)); +#11113=CARTESIAN_POINT('Origin',(-6.19586653801631,0.,6.32811048888154)); +#11114=CARTESIAN_POINT('Origin',(-6.19586653801631,-0.8,6.32811048888154)); +#11115=CARTESIAN_POINT('Origin',(-7.39896944444444,0.,5.52515833333333)); +#11116=CARTESIAN_POINT('',(-7.39896944444444,-0.8,5.52515833333333)); +#11117=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#11118=CARTESIAN_POINT('Origin',(6.00612792071052,-0.8,-5.87394809615612)); +#11119=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#11120=CARTESIAN_POINT('Origin',(6.00612792071052,-0.8,-5.87394809615612)); +#11121=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#11122=CARTESIAN_POINT('Origin',(6.00612792071052,-0.8,-5.87394809615612)); +#11123=CARTESIAN_POINT('Origin',(-0.0913713714963982,-0.8,-6.17803905262251)); +#11124=CARTESIAN_POINT('',(-7.39896944444444,-0.8,-5.07616666666667)); +#11125=CARTESIAN_POINT('',(-7.39896944444444,-0.8,-5.07616666666667)); +#11126=CARTESIAN_POINT('',(-7.59158611111111,-0.8,-5.27901388888889)); +#11127=CARTESIAN_POINT('Origin',(-7.40072347717252,-0.8,-5.26737575278729)); +#11128=CARTESIAN_POINT('',(-7.58170832660463,-0.8,-6.00891114340888)); +#11129=CARTESIAN_POINT('',(-7.58170832660463,-0.8,-6.00891114340888)); +#11130=CARTESIAN_POINT('',(-6.09051674471961,-0.8,-7.27573617166943)); +#11131=CARTESIAN_POINT('Origin',(-6.09227380105902,-0.8,-5.76674413031496)); +#11132=CARTESIAN_POINT('',(5.86145530853432,-0.8,-7.27931534399702)); +#11133=CARTESIAN_POINT('',(5.86145530853432,-0.8,-7.27931534399702)); +#11134=CARTESIAN_POINT('',(7.39864730834961,-0.8,-6.00855855941773)); +#11135=CARTESIAN_POINT('Origin',(5.90422168492875,-0.8,-5.76592512125089)); +#11136=CARTESIAN_POINT('',(7.40887777777778,-0.8,-5.27901388888889)); +#11137=CARTESIAN_POINT('',(7.39864730834961,-0.8,-6.00855855941773)); +#11138=CARTESIAN_POINT('',(7.21590833333334,-0.8,-5.07616666666667)); +#11139=CARTESIAN_POINT('Origin',(7.21742027704121,-0.8,-5.2679382949036)); +#11140=CARTESIAN_POINT('',(6.65816666666667,-0.8,-5.07616666666667)); +#11141=CARTESIAN_POINT('Origin',(6.00612792071052,-0.8,-5.87394809615612)); +#11142=CARTESIAN_POINT('Origin',(-6.17978755008086,-0.8,-5.86469361800454)); +#11143=CARTESIAN_POINT('Origin',(-6.17978755008086,-0.8,-5.86469361800454)); +#11144=CARTESIAN_POINT('Origin',(-6.17978755008086,-0.8,-5.86469361800454)); +#11145=CARTESIAN_POINT('Origin',(-6.17978755008086,-0.8,-5.86469361800454)); +#11146=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#11147=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#11148=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#11149=CARTESIAN_POINT('Origin',(7.21590833333334,0.,-5.07616666666667)); +#11150=CARTESIAN_POINT('',(7.21590833333334,0.,-5.07616666666667)); +#11151=CARTESIAN_POINT('Origin',(7.21742027704121,0.,-5.2679382949036)); +#11152=CARTESIAN_POINT('',(7.40887777777778,0.,-5.27901388888889)); +#11153=CARTESIAN_POINT('Origin',(7.39864730834961,0.,-6.00855855941773)); +#11154=CARTESIAN_POINT('',(7.39864730834961,0.,-6.00855855941773)); +#11155=CARTESIAN_POINT('Origin',(5.90422168492875,0.,-5.76592512125089)); +#11156=CARTESIAN_POINT('',(5.86145530853432,0.,-7.27931534399702)); +#11157=CARTESIAN_POINT('Origin',(-6.09051674471961,0.,-7.27573617166943)); +#11158=CARTESIAN_POINT('',(-6.09051674471961,0.,-7.27573617166943)); +#11159=CARTESIAN_POINT('Origin',(-6.09227380105902,0.,-5.76674413031496)); +#11160=CARTESIAN_POINT('',(-7.58170832660463,0.,-6.00891114340888)); +#11161=CARTESIAN_POINT('Origin',(-7.59158611111111,0.,-5.27901388888889)); +#11162=CARTESIAN_POINT('',(-7.59158611111111,0.,-5.27901388888889)); +#11163=CARTESIAN_POINT('Origin',(-7.40072347717252,0.,-5.26737575278729)); +#11164=CARTESIAN_POINT('',(-7.39896944444444,0.,-5.07616666666667)); +#11165=CARTESIAN_POINT('Origin',(-6.840875,0.,-5.07616666666667)); +#11166=CARTESIAN_POINT('Origin',(-6.17978755008086,0.,-5.86469361800454)); +#11167=CARTESIAN_POINT('Origin',(6.00612792071052,0.,-5.87394809615612)); +#11168=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11169=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11170=CARTESIAN_POINT('',(-2.35812777777778,0.,-0.778980555555555)); +#11171=CARTESIAN_POINT('',(-2.33766666666667,0.,-0.626933333333333)); +#11172=CARTESIAN_POINT('',(-2.68455365516062,0.,-3.20466250645219)); +#11173=CARTESIAN_POINT('',(-2.33766666666667,0.,-0.931027777777778)); +#11174=CARTESIAN_POINT('',(-2.33766666666667,0.,-3.55453341520014)); +#11175=CARTESIAN_POINT('',(-1.98115214562864,0.,-3.58029947721551)); +#11176=CARTESIAN_POINT('Origin',(-2.35812777777778,0.,-0.778980555555555)); +#11177=CARTESIAN_POINT('',(-2.33766666666667,0.4,-0.931027777777778)); +#11178=CARTESIAN_POINT('',(-2.33766666666667,0.,-0.931027777777778)); +#11179=CARTESIAN_POINT('',(-2.35812777777778,0.4,-0.778980555555555)); +#11180=CARTESIAN_POINT('',(-2.33766666666667,0.4,-0.931027777777778)); +#11181=CARTESIAN_POINT('',(-2.35812777777778,0.,-0.778980555555555)); +#11182=CARTESIAN_POINT('Origin',(-2.33766666666667,0.,-0.931027777777778)); +#11183=CARTESIAN_POINT('',(-2.33766666666667,0.4,-0.626933333333333)); +#11184=CARTESIAN_POINT('',(-2.33766666666667,0.,-0.626933333333333)); +#11185=CARTESIAN_POINT('',(-2.33766666666667,0.4,-1.27569166666667)); +#11186=CARTESIAN_POINT('Origin',(-2.33766666666667,0.,-0.626933333333333)); +#11187=CARTESIAN_POINT('',(-2.35812777777778,0.4,-0.778980555555555)); +#11188=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11189=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11190=CARTESIAN_POINT('',(2.15531111111111,0.4,-0.931027777777778)); +#11191=CARTESIAN_POINT('',(2.17541944444445,0.4,-0.778980555555555)); +#11192=CARTESIAN_POINT('',(2.17541944444445,0.4,-0.778980555555555)); +#11193=CARTESIAN_POINT('',(2.15531111111111,0.4,-0.626933333333333)); +#11194=CARTESIAN_POINT('',(2.15531111111111,0.4,-0.626933333333333)); +#11195=CARTESIAN_POINT('',(2.15531111111111,0.4,1.72433055555556)); +#11196=CARTESIAN_POINT('Origin',(2.15531111111111,0.,-0.931027777777778)); +#11197=CARTESIAN_POINT('',(2.17541944444445,0.,-0.778980555555555)); +#11198=CARTESIAN_POINT('',(2.15531111111111,0.,-0.931027777777778)); +#11199=CARTESIAN_POINT('',(1.79500531412926,0.,-3.65544529530766)); +#11200=CARTESIAN_POINT('',(2.17541944444445,0.,-0.778980555555555)); +#11201=CARTESIAN_POINT('',(2.15531111111111,0.,-0.931027777777778)); +#11202=CARTESIAN_POINT('Origin',(2.15531111111111,0.,-0.626933333333333)); +#11203=CARTESIAN_POINT('',(2.15531111111111,0.,-0.626933333333333)); +#11204=CARTESIAN_POINT('',(2.15531111111111,0.,-3.40248619297792)); +#11205=CARTESIAN_POINT('',(2.15531111111111,0.,-0.626933333333333)); +#11206=CARTESIAN_POINT('Origin',(2.17541944444445,0.,-0.778980555555555)); +#11207=CARTESIAN_POINT('',(2.50681425619102,0.,-3.28479044788518)); +#11208=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11209=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11210=CARTESIAN_POINT('',(5.20278912943705,0.,-1.08322549135537)); +#11211=CARTESIAN_POINT('',(5.20789748362263,0.,-1.06261344814648)); +#11212=CARTESIAN_POINT('',(4.45738927969325,0.,-4.09097927102328)); +#11213=CARTESIAN_POINT('',(5.20789763132731,0.,-1.0629672103458)); +#11214=CARTESIAN_POINT('',(5.20789763132731,0.,-3.62050313148415)); +#11215=CARTESIAN_POINT('',(4.44055756097929,0.,-4.10593188158316)); +#11216=CARTESIAN_POINT('Origin',(5.20278912943705,0.,-1.08322549135537)); +#11217=CARTESIAN_POINT('',(5.20789763132731,0.4,-1.0629672103458)); +#11218=CARTESIAN_POINT('',(5.20789763132731,0.,-1.0629672103458)); +#11219=CARTESIAN_POINT('',(5.20278912943705,0.4,-1.08322549135537)); +#11220=CARTESIAN_POINT('',(5.18743699391683,0.4,-1.14410593774584)); +#11221=CARTESIAN_POINT('',(5.20278912943705,0.,-1.08322549135537)); +#11222=CARTESIAN_POINT('Origin',(5.20789763132731,0.,-1.0629672103458)); +#11223=CARTESIAN_POINT('',(5.20789748362263,0.4,-1.06261344814648)); +#11224=CARTESIAN_POINT('',(5.20789748362263,0.,-1.06261344814648)); +#11225=CARTESIAN_POINT('',(5.20789763132731,0.4,-1.0629672103458)); +#11226=CARTESIAN_POINT('Origin',(5.20789734025501,0.,-1.06261341261624)); +#11227=CARTESIAN_POINT('',(5.20789722222222,0.4,-1.06261388888889)); +#11228=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11229=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11230=CARTESIAN_POINT('',(2.90566944444445,0.4,1.72433055555556)); +#11231=CARTESIAN_POINT('',(2.61145277777778,0.4,1.72433055555556)); +#11232=CARTESIAN_POINT('',(2.15531111111111,0.4,1.72433055555556)); +#11233=CARTESIAN_POINT('',(2.76385277777778,0.4,1.70422222222222)); +#11234=CARTESIAN_POINT('',(2.76385277777778,0.4,1.70422222222222)); +#11235=CARTESIAN_POINT('',(2.90566944444445,0.4,1.72433055555556)); +#11236=CARTESIAN_POINT('Origin',(2.90566944444445,0.,1.72433055555556)); +#11237=CARTESIAN_POINT('',(2.61145277777778,0.,1.72433055555556)); +#11238=CARTESIAN_POINT('',(2.90566944444445,0.,1.72433055555556)); +#11239=CARTESIAN_POINT('',(1.40714903647402,0.,1.72433055555556)); +#11240=CARTESIAN_POINT('',(2.61145277777778,0.,1.72433055555556)); +#11241=CARTESIAN_POINT('',(2.90566944444445,0.,1.72433055555556)); +#11242=CARTESIAN_POINT('Origin',(2.76385277777778,0.,1.70422222222222)); +#11243=CARTESIAN_POINT('',(2.76385277777778,0.,1.70422222222222)); +#11244=CARTESIAN_POINT('',(0.81657314601162,0.,1.42811540876285)); +#11245=CARTESIAN_POINT('',(2.76385277777778,0.,1.70422222222222)); +#11246=CARTESIAN_POINT('Origin',(2.61145277777778,0.,1.72433055555556)); +#11247=CARTESIAN_POINT('',(1.7955813668811,0.,1.83198025560442)); +#11248=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11249=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11250=CARTESIAN_POINT('',(-5.30946666666667,0.,-1.25523055555556)); +#11251=CARTESIAN_POINT('',(-5.30553195220261,0.,-1.27863532262625)); +#11252=CARTESIAN_POINT('',(-4.83335918818496,0.,-4.08724917755901)); +#11253=CARTESIAN_POINT('',(-5.34932800169148,0.,-1.18242025574145)); +#11254=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.,-1.27863532262625)); +#11255=CARTESIAN_POINT('Ctrl Pts',(-5.31770357655757,0.,-1.24613335318943)); +#11256=CARTESIAN_POINT('Ctrl Pts',(-5.3339151563704,0.,-1.21516349652518)); +#11257=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169148,0.,-1.18242025574145)); +#11258=CARTESIAN_POINT('',(-3.6710166570091,0.,-4.24800629573926)); +#11259=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11260=CARTESIAN_POINT('',(-5.31637753300556,0.,-1.51095770819836)); +#11261=CARTESIAN_POINT('',(-5.37049750751919,0.,-1.61047766473558)); +#11262=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.,-1.51095770819836)); +#11263=CARTESIAN_POINT('Ctrl Pts',(-5.32938219198195,0.,-1.54111948612509)); +#11264=CARTESIAN_POINT('Ctrl Pts',(-5.34708986435796,0.,-1.57406578487696)); +#11265=CARTESIAN_POINT('Ctrl Pts',(-5.37049750751919,0.,-1.61047766473558)); +#11266=CARTESIAN_POINT('',(-5.39095839677055,0.,-1.6912637325188)); +#11267=CARTESIAN_POINT('',(-5.76516690448021,0.,-3.16875239508842)); +#11268=CARTESIAN_POINT('',(-5.39095833333333,0.,-1.69091111111111)); +#11269=CARTESIAN_POINT('',(-5.39095833333334,0.,-3.9344750818668)); +#11270=CARTESIAN_POINT('',(-5.37049722222222,0.,-1.610125)); +#11271=CARTESIAN_POINT('',(-5.75497939304105,0.,-3.12816667443994)); +#11272=CARTESIAN_POINT('',(-5.69810474321333,0.,-2.21042317430161)); +#11273=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11274=CARTESIAN_POINT('',(-5.3081880758394,0.,-1.49071107815861)); +#11275=CARTESIAN_POINT('',(-5.30946666666667,0.,-1.49829444444444)); +#11276=CARTESIAN_POINT('',(-5.62095636202169,0.,-3.34575056861919)); +#11277=CARTESIAN_POINT('',(-5.69810474321333,0.,-2.21042317430161)); +#11278=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300555,0.,-1.51095770819836)); +#11279=CARTESIAN_POINT('Ctrl Pts',(-5.3134061536392,0.,-1.50406617150012)); +#11280=CARTESIAN_POINT('Ctrl Pts',(-5.3106802985756,0.,-1.49732000277683)); +#11281=CARTESIAN_POINT('Ctrl Pts',(-5.3081880758394,0.,-1.49071107815861)); +#11282=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11283=CARTESIAN_POINT('',(-5.39095844814482,0.,-1.06261382128225)); +#11284=CARTESIAN_POINT('',(-5.37965187443691,0.,-1.10744984658159)); +#11285=CARTESIAN_POINT('',(-4.62045029242642,0.,-4.11807680972668)); +#11286=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.,-1.10744984658159)); +#11287=CARTESIAN_POINT('Ctrl Pts',(-5.38413855952173,0.,-1.09329192255081)); +#11288=CARTESIAN_POINT('Ctrl Pts',(-5.38799757807535,0.,-1.07852573752398)); +#11289=CARTESIAN_POINT('Ctrl Pts',(-5.39095848136478,0.,-1.06296653747559)); +#11290=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11291=CARTESIAN_POINT('',(-5.37049722222222,0.,-1.14375277777778)); +#11292=CARTESIAN_POINT('',(-3.70153193478688,0.,-4.19226740685037)); +#11293=CARTESIAN_POINT('',(-4.62045029242642,0.,-4.11807680972668)); +#11294=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.,-1.10744984658159)); +#11295=CARTESIAN_POINT('Ctrl Pts',(-5.37117610582473,0.,-1.13419549194012)); +#11296=CARTESIAN_POINT('Ctrl Pts',(-5.36046039930502,0.,-1.15877045144075)); +#11297=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169152,0.,-1.18242025574137)); +#11298=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11299=CARTESIAN_POINT('Ctrl Pts',(-5.3055319522026,0.,-1.27863532262625)); +#11300=CARTESIAN_POINT('Ctrl Pts',(-5.28342408580492,0.,-1.33767010524982)); +#11301=CARTESIAN_POINT('Ctrl Pts',(-5.27464447027553,0.,-1.40175949394626)); +#11302=CARTESIAN_POINT('Ctrl Pts',(-5.30818807583938,0.,-1.49071107815856)); +#11303=CARTESIAN_POINT('',(-5.28900555555556,0.,-1.37693888888889)); +#11304=CARTESIAN_POINT('',(-5.61072580646613,0.,-3.28507279084141)); +#11305=CARTESIAN_POINT('',(-4.83335918818496,0.,-4.08724917755901)); +#11306=CARTESIAN_POINT('Origin',(-5.30946666666667,0.,-1.25523055555556)); +#11307=CARTESIAN_POINT('',(-5.34932800169148,0.4,-1.18242025574145)); +#11308=CARTESIAN_POINT('',(-5.34932800169148,0.,-1.18242025574145)); +#11309=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.25523055555556)); +#11310=CARTESIAN_POINT('',(-5.37049722222222,0.4,-1.14375277777778)); +#11311=CARTESIAN_POINT('',(-5.30946666666667,0.,-1.25523055555556)); +#11312=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169152,0.,-1.18242025574137)); +#11313=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169152,0.4,-1.18242025574137)); +#11314=CARTESIAN_POINT('Ctrl Pts',(-5.36046039930502,0.,-1.15877045144075)); +#11315=CARTESIAN_POINT('Ctrl Pts',(-5.36046039930502,0.4,-1.15877045144075)); +#11316=CARTESIAN_POINT('Ctrl Pts',(-5.37117610582473,0.,-1.13419549194012)); +#11317=CARTESIAN_POINT('Ctrl Pts',(-5.37117610582473,0.4,-1.13419549194012)); +#11318=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.,-1.10744984658159)); +#11319=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.4,-1.10744984658159)); +#11320=CARTESIAN_POINT('',(-5.37965187443691,0.4,-1.10744984658159)); +#11321=CARTESIAN_POINT('',(-5.37965187443691,0.,-1.10744984658159)); +#11322=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169152,0.4,-1.18242025574137)); +#11323=CARTESIAN_POINT('Ctrl Pts',(-5.36046039930502,0.4,-1.15877045144075)); +#11324=CARTESIAN_POINT('Ctrl Pts',(-5.37117610582473,0.4,-1.13419549194012)); +#11325=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.4,-1.10744984658159)); +#11326=CARTESIAN_POINT('Origin',(-5.37965187443691,0.,-1.10744984658159)); +#11327=CARTESIAN_POINT('',(-5.39095841688234,0.4,-1.06261382128519)); +#11328=CARTESIAN_POINT('',(-5.39095841688234,0.,-1.06261382128519)); +#11329=CARTESIAN_POINT('',(-5.39095833333333,0.4,-1.06261388888889)); +#11330=CARTESIAN_POINT('Ctrl Pts',(-5.39095848136478,0.,-1.06296653747559)); +#11331=CARTESIAN_POINT('Ctrl Pts',(-5.39095848136478,0.4,-1.06296653747559)); +#11332=CARTESIAN_POINT('Ctrl Pts',(-5.38799757807535,0.,-1.07852573752398)); +#11333=CARTESIAN_POINT('Ctrl Pts',(-5.38799757807535,0.4,-1.07852573752398)); +#11334=CARTESIAN_POINT('Ctrl Pts',(-5.38413855952173,0.,-1.09329192255081)); +#11335=CARTESIAN_POINT('Ctrl Pts',(-5.38413855952173,0.4,-1.09329192255081)); +#11336=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.,-1.10744984658159)); +#11337=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.4,-1.10744984658159)); +#11338=CARTESIAN_POINT('',(-5.37965187443691,0.,-1.10744984658159)); +#11339=CARTESIAN_POINT('Ctrl Pts',(-5.39095848136478,0.4,-1.06296653747559)); +#11340=CARTESIAN_POINT('Ctrl Pts',(-5.38799757807535,0.4,-1.07852573752398)); +#11341=CARTESIAN_POINT('Ctrl Pts',(-5.38413855952173,0.4,-1.09329192255081)); +#11342=CARTESIAN_POINT('Ctrl Pts',(-5.37965187443691,0.4,-1.10744984658159)); +#11343=CARTESIAN_POINT('Origin',(-5.37965187443691,0.,-1.10744984658159)); +#11344=CARTESIAN_POINT('',(-5.37049722222222,0.4,-1.14375277777778)); +#11345=CARTESIAN_POINT('',(-5.37049722222222,0.,-1.14375277777778)); +#11346=CARTESIAN_POINT('',(-5.39095833333333,0.4,-1.06261388888889)); +#11347=CARTESIAN_POINT('Origin',(-5.37049722222222,0.,-1.14375277777778)); +#11348=CARTESIAN_POINT('',(-5.34932800169148,0.,-1.18242025574145)); +#11349=CARTESIAN_POINT('',(-5.37049722222222,0.4,-1.14375277777778)); +#11350=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169148,0.,-1.18242025574145)); +#11351=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169148,0.4,-1.18242025574145)); +#11352=CARTESIAN_POINT('Ctrl Pts',(-5.3339151563704,0.,-1.21516349652518)); +#11353=CARTESIAN_POINT('Ctrl Pts',(-5.3339151563704,0.4,-1.21516349652518)); +#11354=CARTESIAN_POINT('Ctrl Pts',(-5.31770357655757,0.,-1.24613335318943)); +#11355=CARTESIAN_POINT('Ctrl Pts',(-5.31770357655757,0.4,-1.24613335318943)); +#11356=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.,-1.27863532262625)); +#11357=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.4,-1.27863532262625)); +#11358=CARTESIAN_POINT('',(-5.30553195220261,0.4,-1.27863532262625)); +#11359=CARTESIAN_POINT('',(-5.30553195220261,0.,-1.27863532262625)); +#11360=CARTESIAN_POINT('Ctrl Pts',(-5.34932800169148,0.4,-1.18242025574145)); +#11361=CARTESIAN_POINT('Ctrl Pts',(-5.3339151563704,0.4,-1.21516349652518)); +#11362=CARTESIAN_POINT('Ctrl Pts',(-5.31770357655757,0.4,-1.24613335318943)); +#11363=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.4,-1.27863532262625)); +#11364=CARTESIAN_POINT('Origin',(-5.30553195220261,0.,-1.27863532262625)); +#11365=CARTESIAN_POINT('',(-5.28900555555556,0.4,-1.37693888888889)); +#11366=CARTESIAN_POINT('',(-5.28900555555556,0.,-1.37693888888889)); +#11367=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.25523055555556)); +#11368=CARTESIAN_POINT('Origin',(-5.28900555555556,0.,-1.37693888888889)); +#11369=CARTESIAN_POINT('',(-5.3081880758394,0.4,-1.49071107815861)); +#11370=CARTESIAN_POINT('',(-5.3081880758394,0.,-1.49071107815861)); +#11371=CARTESIAN_POINT('',(-5.28900555555556,0.4,-1.37693888888889)); +#11372=CARTESIAN_POINT('Ctrl Pts',(-5.3081880758394,0.,-1.49071107815861)); +#11373=CARTESIAN_POINT('Ctrl Pts',(-5.3081880758394,0.4,-1.49071107815861)); +#11374=CARTESIAN_POINT('Ctrl Pts',(-5.3106802985756,0.,-1.49732000277683)); +#11375=CARTESIAN_POINT('Ctrl Pts',(-5.3106802985756,0.4,-1.49732000277683)); +#11376=CARTESIAN_POINT('Ctrl Pts',(-5.3134061536392,0.,-1.50406617150012)); +#11377=CARTESIAN_POINT('Ctrl Pts',(-5.3134061536392,0.4,-1.50406617150012)); +#11378=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.,-1.51095770819836)); +#11379=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.4,-1.51095770819836)); +#11380=CARTESIAN_POINT('',(-5.31637753300556,0.4,-1.51095770819836)); +#11381=CARTESIAN_POINT('',(-5.31637753300556,0.,-1.51095770819836)); +#11382=CARTESIAN_POINT('Ctrl Pts',(-5.3081880758394,0.4,-1.49071107815861)); +#11383=CARTESIAN_POINT('Ctrl Pts',(-5.3106802985756,0.4,-1.49732000277683)); +#11384=CARTESIAN_POINT('Ctrl Pts',(-5.3134061536392,0.4,-1.50406617150012)); +#11385=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300555,0.4,-1.51095770819836)); +#11386=CARTESIAN_POINT('Origin',(-5.31637753300556,0.,-1.51095770819836)); +#11387=CARTESIAN_POINT('',(-5.37049722222222,0.4,-1.610125)); +#11388=CARTESIAN_POINT('',(-5.37049722222222,0.,-1.610125)); +#11389=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.49829444444444)); +#11390=CARTESIAN_POINT('Origin',(-5.37049722222222,0.,-1.610125)); +#11391=CARTESIAN_POINT('',(-5.39095833333333,0.4,-1.69091111111111)); +#11392=CARTESIAN_POINT('',(-5.39095833333333,0.,-1.69091111111111)); +#11393=CARTESIAN_POINT('',(-5.37049722222222,0.4,-1.610125)); +#11394=CARTESIAN_POINT('Origin',(-5.39095833333333,0.,-1.69091111111111)); +#11395=CARTESIAN_POINT('',(-5.39095839677055,0.4,-1.6912637325188)); +#11396=CARTESIAN_POINT('',(-5.39095839677055,0.,-1.6912637325188)); +#11397=CARTESIAN_POINT('',(-5.39095833333333,0.4,-1.97489722222222)); +#11398=CARTESIAN_POINT('Origin',(-5.39095845826604,0.,-1.69126371694364)); +#11399=CARTESIAN_POINT('',(-5.37049750751919,0.4,-1.61047766473558)); +#11400=CARTESIAN_POINT('',(-5.37049750751919,0.,-1.61047766473558)); +#11401=CARTESIAN_POINT('',(-5.37049750751919,0.4,-1.61047766473558)); +#11402=CARTESIAN_POINT('Ctrl Pts',(-5.37049750751919,0.,-1.61047766473558)); +#11403=CARTESIAN_POINT('Ctrl Pts',(-5.37049750751919,0.4,-1.61047766473558)); +#11404=CARTESIAN_POINT('Ctrl Pts',(-5.34708986435796,0.,-1.57406578487696)); +#11405=CARTESIAN_POINT('Ctrl Pts',(-5.34708986435796,0.4,-1.57406578487696)); +#11406=CARTESIAN_POINT('Ctrl Pts',(-5.32938219198195,0.,-1.54111948612509)); +#11407=CARTESIAN_POINT('Ctrl Pts',(-5.32938219198195,0.4,-1.54111948612509)); +#11408=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.,-1.51095770819836)); +#11409=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.4,-1.51095770819836)); +#11410=CARTESIAN_POINT('',(-5.31637753300556,0.,-1.51095770819836)); +#11411=CARTESIAN_POINT('Ctrl Pts',(-5.37049750751919,0.4,-1.61047766473558)); +#11412=CARTESIAN_POINT('Ctrl Pts',(-5.34708986435796,0.4,-1.57406578487696)); +#11413=CARTESIAN_POINT('Ctrl Pts',(-5.32938219198195,0.4,-1.54111948612509)); +#11414=CARTESIAN_POINT('Ctrl Pts',(-5.31637753300556,0.4,-1.51095770819836)); +#11415=CARTESIAN_POINT('Origin',(-5.31637753300556,0.,-1.51095770819836)); +#11416=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.49829444444444)); +#11417=CARTESIAN_POINT('',(-5.30946666666667,0.,-1.49829444444444)); +#11418=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.49829444444444)); +#11419=CARTESIAN_POINT('Origin',(-5.30946666666667,0.,-1.49829444444444)); +#11420=CARTESIAN_POINT('',(-5.3081880758394,0.,-1.49071107815861)); +#11421=CARTESIAN_POINT('',(-5.28900555555556,0.4,-1.37693888888889)); +#11422=CARTESIAN_POINT('Ctrl Pts',(-5.30818807583938,0.,-1.49071107815856)); +#11423=CARTESIAN_POINT('Ctrl Pts',(-5.30818807583938,0.4,-1.49071107815856)); +#11424=CARTESIAN_POINT('Ctrl Pts',(-5.27464447027553,0.,-1.40175949394626)); +#11425=CARTESIAN_POINT('Ctrl Pts',(-5.27464447027553,0.4,-1.40175949394626)); +#11426=CARTESIAN_POINT('Ctrl Pts',(-5.28342408580492,0.,-1.33767010524982)); +#11427=CARTESIAN_POINT('Ctrl Pts',(-5.28342408580492,0.4,-1.33767010524982)); +#11428=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.,-1.27863532262625)); +#11429=CARTESIAN_POINT('Ctrl Pts',(-5.30553195220261,0.4,-1.27863532262625)); +#11430=CARTESIAN_POINT('',(-5.30553195220261,0.,-1.27863532262625)); +#11431=CARTESIAN_POINT('Ctrl Pts',(-5.30818807583938,0.4,-1.49071107815856)); +#11432=CARTESIAN_POINT('Ctrl Pts',(-5.27464447027553,0.4,-1.40175949394626)); +#11433=CARTESIAN_POINT('Ctrl Pts',(-5.28342408580492,0.4,-1.33767010524982)); +#11434=CARTESIAN_POINT('Ctrl Pts',(-5.3055319522026,0.4,-1.27863532262625)); +#11435=CARTESIAN_POINT('Origin',(-5.30553195220261,0.,-1.27863532262625)); +#11436=CARTESIAN_POINT('',(-5.30946666666667,0.4,-1.25523055555556)); +#11437=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11438=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11439=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11440=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11441=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11442=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11443=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11444=CARTESIAN_POINT('',(-2.79416111111111,0.4,1.72433055555556)); +#11445=CARTESIAN_POINT('',(-3.08837777777778,0.4,1.72433055555556)); +#11446=CARTESIAN_POINT('',(-3.54487222222222,0.4,1.72433055555556)); +#11447=CARTESIAN_POINT('',(-2.94656111111111,0.4,1.70422222222222)); +#11448=CARTESIAN_POINT('',(-2.94656111111111,0.4,1.70422222222222)); +#11449=CARTESIAN_POINT('',(-2.79416111111111,0.4,1.72433055555556)); +#11450=CARTESIAN_POINT('Origin',(-2.79416111111111,0.,1.72433055555556)); +#11451=CARTESIAN_POINT('',(-3.08837777777778,0.,1.72433055555556)); +#11452=CARTESIAN_POINT('',(-2.79416111111111,0.,1.72433055555556)); +#11453=CARTESIAN_POINT('',(-1.44276624130375,0.,1.72433055555556)); +#11454=CARTESIAN_POINT('',(-3.08837777777778,0.,1.72433055555556)); +#11455=CARTESIAN_POINT('',(-2.79416111111111,0.,1.72433055555556)); +#11456=CARTESIAN_POINT('Origin',(-2.94656111111111,0.,1.70422222222222)); +#11457=CARTESIAN_POINT('',(-2.94656111111111,0.,1.70422222222222)); +#11458=CARTESIAN_POINT('',(-2.05450661064479,0.,1.82192385770042)); +#11459=CARTESIAN_POINT('',(-2.94656111111111,0.,1.70422222222222)); +#11460=CARTESIAN_POINT('Origin',(-3.08837777777778,0.,1.72433055555556)); +#11461=CARTESIAN_POINT('',(-1.07020667842719,0.,1.43817196684167)); +#11462=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11463=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11464=CARTESIAN_POINT('',(-2.63188333333333,0.,3.33581944444445)); +#11465=CARTESIAN_POINT('',(-2.63171875182695,0.,3.33548739403683)); +#11466=CARTESIAN_POINT('',(-0.488500514102374,0.,-0.988549401372112)); +#11467=CARTESIAN_POINT('',(-2.64246666666666,0.,3.34605)); +#11468=CARTESIAN_POINT('',(0.387060248570188,0.,0.368756307439578)); +#11469=CARTESIAN_POINT('',(0.401866712071982,0.,0.40319440055236)); +#11470=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11471=CARTESIAN_POINT('',(-2.62200555555555,0.,3.32594166666667)); +#11472=CARTESIAN_POINT('',(0.397290804125743,0.,0.358702140772912)); +#11473=CARTESIAN_POINT('',(-2.611775,0.,3.30548055555556)); +#11474=CARTESIAN_POINT('',(-0.463030715513942,0.,-0.992008013416323)); +#11475=CARTESIAN_POINT('',(-2.611775,0.,3.29525)); +#11476=CARTESIAN_POINT('',(-2.61177499999987,0.,-1.44139452631129)); +#11477=CARTESIAN_POINT('',(-0.488500514102374,0.,-0.988549401372112)); +#11478=CARTESIAN_POINT('Origin',(-2.63188333333333,0.,3.33581944444445)); +#11479=CARTESIAN_POINT('',(-2.64246666666666,0.4,3.34605)); +#11480=CARTESIAN_POINT('',(-2.64246666666666,0.,3.34605)); +#11481=CARTESIAN_POINT('',(-2.63188333333333,0.4,3.33581944444445)); +#11482=CARTESIAN_POINT('',(-2.64246666666666,0.4,3.34605)); +#11483=CARTESIAN_POINT('',(-2.63188333333333,0.,3.33581944444445)); +#11484=CARTESIAN_POINT('Origin',(-2.64246666666666,0.,3.34605)); +#11485=CARTESIAN_POINT('',(-2.63171875182695,0.4,3.33548739403683)); +#11486=CARTESIAN_POINT('',(-2.63171875182695,0.,3.33548739403683)); +#11487=CARTESIAN_POINT('',(-2.64246666666666,0.4,3.34605)); +#11488=CARTESIAN_POINT('Origin',(-2.63171875182695,0.,3.33548739403683)); +#11489=CARTESIAN_POINT('',(-2.611775,0.4,3.29525)); +#11490=CARTESIAN_POINT('',(-2.611775,0.,3.29525)); +#11491=CARTESIAN_POINT('',(-2.63188333333333,0.4,3.33581944444445)); +#11492=CARTESIAN_POINT('Origin',(-2.611775,0.,3.29525)); +#11493=CARTESIAN_POINT('',(-2.611775,0.4,3.30548055555556)); +#11494=CARTESIAN_POINT('',(-2.611775,0.,3.30548055555556)); +#11495=CARTESIAN_POINT('',(-2.611775,0.4,3.30548055555556)); +#11496=CARTESIAN_POINT('Origin',(-2.611775,0.,3.30548055555556)); +#11497=CARTESIAN_POINT('',(-2.62200555555555,0.4,3.32594166666667)); +#11498=CARTESIAN_POINT('',(-2.62200555555555,0.,3.32594166666667)); +#11499=CARTESIAN_POINT('',(-2.62200555555555,0.4,3.32594166666667)); +#11500=CARTESIAN_POINT('Origin',(-2.62200555555555,0.,3.32594166666667)); +#11501=CARTESIAN_POINT('',(-2.63171875182695,0.,3.33548739403683)); +#11502=CARTESIAN_POINT('',(-2.64246666666666,0.4,3.34605)); +#11503=CARTESIAN_POINT('Origin',(-2.63171875182695,0.,3.33548739403683)); +#11504=CARTESIAN_POINT('',(-2.63188333333333,0.4,3.33581944444445)); +#11505=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11506=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11507=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11508=CARTESIAN_POINT('',(2.15531111111111,0.4,1.075925)); +#11509=CARTESIAN_POINT('',(2.17541944444445,0.4,1.22797222222222)); +#11510=CARTESIAN_POINT('',(2.17541944444445,0.4,1.22797222222222)); +#11511=CARTESIAN_POINT('',(2.15531111111111,0.4,1.36978888888889)); +#11512=CARTESIAN_POINT('',(2.15531111111111,0.4,1.36978888888889)); +#11513=CARTESIAN_POINT('',(2.15531111111111,0.4,1.72433055555556)); +#11514=CARTESIAN_POINT('Origin',(2.15531111111111,0.,1.075925)); +#11515=CARTESIAN_POINT('',(2.17541944444445,0.,1.22797222222222)); +#11516=CARTESIAN_POINT('',(2.15531111111111,0.,1.075925)); +#11517=CARTESIAN_POINT('',(1.66457621278103,0.,-2.63471958211054)); +#11518=CARTESIAN_POINT('',(2.17541944444445,0.,1.22797222222222)); +#11519=CARTESIAN_POINT('',(2.15531111111111,0.,1.075925)); +#11520=CARTESIAN_POINT('Origin',(2.15531111111111,0.,1.36978888888889)); +#11521=CARTESIAN_POINT('',(2.15531111111111,0.,1.36978888888889)); +#11522=CARTESIAN_POINT('',(2.15531111111111,0.,-2.40412508186681)); +#11523=CARTESIAN_POINT('',(2.15531111111111,0.,1.36978888888889)); +#11524=CARTESIAN_POINT('Origin',(2.17541944444445,0.,1.22797222222222)); +#11525=CARTESIAN_POINT('',(2.66778700521309,0.,-2.24451478530433)); +#11526=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11527=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11528=CARTESIAN_POINT('',(-5.380375,0.,2.504675)); +#11529=CARTESIAN_POINT('',(-5.39095833333333,0.,2.42353611111111)); +#11530=CARTESIAN_POINT('',(-5.8982189315128,0.,-1.46546180826465)); +#11531=CARTESIAN_POINT('',(-5.39095833333333,0.,2.42388888888889)); +#11532=CARTESIAN_POINT('',(-5.39095833333333,0.,-1.87707508186681)); +#11533=CARTESIAN_POINT('',(-5.89489612142502,0.,-1.4228362268776)); +#11534=CARTESIAN_POINT('Origin',(-5.380375,0.,2.504675)); +#11535=CARTESIAN_POINT('',(-5.39095833333333,0.4,2.42388888888889)); +#11536=CARTESIAN_POINT('',(-5.39095833333333,0.,2.42388888888889)); +#11537=CARTESIAN_POINT('',(-5.380375,0.4,2.504675)); +#11538=CARTESIAN_POINT('',(-5.39095833333333,0.4,2.42388888888889)); +#11539=CARTESIAN_POINT('',(-5.380375,0.,2.504675)); +#11540=CARTESIAN_POINT('Origin',(-5.39095833333333,0.,2.42388888888889)); +#11541=CARTESIAN_POINT('',(-5.39095833333333,0.4,2.42353611111111)); +#11542=CARTESIAN_POINT('',(-5.39095833333333,0.,2.42353611111111)); +#11543=CARTESIAN_POINT('',(-5.39095833333333,0.4,2.42388888888889)); +#11544=CARTESIAN_POINT('Origin',(-5.39095833333333,0.,2.42353611111111)); +#11545=CARTESIAN_POINT('',(-5.39095833333333,0.4,2.42353611111111)); +#11546=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11547=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11548=CARTESIAN_POINT('',(2.90566944444444,0.4,-1.27569166666667)); +#11549=CARTESIAN_POINT('',(2.76385277777778,0.4,-1.25523055555556)); +#11550=CARTESIAN_POINT('',(2.76385277777778,0.4,-1.25523055555556)); +#11551=CARTESIAN_POINT('',(2.61145277777778,0.4,-1.27569166666667)); +#11552=CARTESIAN_POINT('',(2.61145277777778,0.4,-1.27569166666667)); +#11553=CARTESIAN_POINT('',(2.15531111111111,0.4,-1.27569166666667)); +#11554=CARTESIAN_POINT('Origin',(2.90566944444444,0.,-1.27569166666667)); +#11555=CARTESIAN_POINT('',(2.76385277777778,0.,-1.25523055555556)); +#11556=CARTESIAN_POINT('',(2.90566944444444,0.,-1.27569166666667)); +#11557=CARTESIAN_POINT('',(1.78414692987084,0.,-1.1138799605839)); +#11558=CARTESIAN_POINT('',(2.76385277777778,0.,-1.25523055555556)); +#11559=CARTESIAN_POINT('',(2.90566944444444,0.,-1.27569166666667)); +#11560=CARTESIAN_POINT('Origin',(2.61145277777778,0.,-1.27569166666667)); +#11561=CARTESIAN_POINT('',(2.61145277777778,0.,-1.27569166666667)); +#11562=CARTESIAN_POINT('',(1.26004070314069,0.,-1.27569166666667)); +#11563=CARTESIAN_POINT('',(2.61145277777778,0.,-1.27569166666667)); +#11564=CARTESIAN_POINT('Origin',(2.76385277777778,0.,-1.25523055555556)); +#11565=CARTESIAN_POINT('',(1.03690359852263,0.,-1.48708947314074)); +#11566=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11567=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11568=CARTESIAN_POINT('',(-2.60154444444444,0.,3.26491111111111)); +#11569=CARTESIAN_POINT('',(-2.60154444444444,0.,3.25468055555556)); +#11570=CARTESIAN_POINT('',(-2.60154444444436,0.,-1.4616792485335)); +#11571=CARTESIAN_POINT('',(-2.611775,0.,3.27514166666667)); +#11572=CARTESIAN_POINT('',(-0.46909849329172,0.,-1.01021134674966)); +#11573=CARTESIAN_POINT('',(-2.611775,0.,3.28537222222222)); +#11574=CARTESIAN_POINT('',(-2.611775,0.,-1.44633341520014)); +#11575=CARTESIAN_POINT('',(-0.461937104402998,0.,-1.01430356897201)); +#11576=CARTESIAN_POINT('Origin',(-2.60154444444444,0.,3.26491111111111)); +#11577=CARTESIAN_POINT('',(-2.611775,0.4,3.28537222222222)); +#11578=CARTESIAN_POINT('',(-2.611775,0.,3.28537222222222)); +#11579=CARTESIAN_POINT('',(-2.60154444444444,0.4,3.26491111111111)); +#11580=CARTESIAN_POINT('',(-2.611775,0.4,3.28537222222222)); +#11581=CARTESIAN_POINT('',(-2.60154444444444,0.,3.26491111111111)); +#11582=CARTESIAN_POINT('Origin',(-2.611775,0.,3.28537222222222)); +#11583=CARTESIAN_POINT('',(-2.611775,0.4,3.27514166666667)); +#11584=CARTESIAN_POINT('',(-2.611775,0.,3.27514166666667)); +#11585=CARTESIAN_POINT('',(-2.611775,0.4,3.29525)); +#11586=CARTESIAN_POINT('Origin',(-2.611775,0.,3.27514166666667)); +#11587=CARTESIAN_POINT('',(-2.60154444444444,0.4,3.25468055555556)); +#11588=CARTESIAN_POINT('',(-2.60154444444444,0.,3.25468055555556)); +#11589=CARTESIAN_POINT('',(-2.611775,0.4,3.27514166666667)); +#11590=CARTESIAN_POINT('Origin',(-2.60154444444444,0.,3.25468055555556)); +#11591=CARTESIAN_POINT('',(-2.60154444444444,0.4,3.26491111111111)); +#11592=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11593=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11594=CARTESIAN_POINT('',(-3.08837777777778,0.4,-1.27569166666667)); +#11595=CARTESIAN_POINT('',(-2.79416111111111,0.4,-1.27569166666667)); +#11596=CARTESIAN_POINT('',(-2.33766666666667,0.4,-1.27569166666667)); +#11597=CARTESIAN_POINT('',(-2.94656111111111,0.4,-1.25523055555556)); +#11598=CARTESIAN_POINT('',(-2.94656111111111,0.4,-1.25523055555556)); +#11599=CARTESIAN_POINT('',(-3.08837777777778,0.4,-1.27569166666667)); +#11600=CARTESIAN_POINT('Origin',(-3.08837777777778,0.,-1.27569166666667)); +#11601=CARTESIAN_POINT('',(-2.79416111111111,0.,-1.27569166666667)); +#11602=CARTESIAN_POINT('',(-3.08837777777778,0.,-1.27569166666667)); +#11603=CARTESIAN_POINT('',(-1.58987457463709,0.,-1.27569166666667)); +#11604=CARTESIAN_POINT('',(-2.79416111111111,0.,-1.27569166666667)); +#11605=CARTESIAN_POINT('',(-3.08837777777778,0.,-1.27569166666667)); +#11606=CARTESIAN_POINT('Origin',(-2.94656111111111,0.,-1.25523055555556)); +#11607=CARTESIAN_POINT('',(-2.94656111111111,0.,-1.25523055555556)); +#11608=CARTESIAN_POINT('',(-1.89596378386254,0.,-1.1036518366988)); +#11609=CARTESIAN_POINT('',(-2.94656111111111,0.,-1.25523055555556)); +#11610=CARTESIAN_POINT('Origin',(-2.79416111111111,0.,-1.27569166666667)); +#11611=CARTESIAN_POINT('',(-1.14342883205042,0.,-1.49731775968871)); +#11612=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11613=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11614=CARTESIAN_POINT('',(3.52444166666667,0.,2.62673611111111)); +#11615=CARTESIAN_POINT('',(3.37155641510266,0.,2.56351065892161)); +#11616=CARTESIAN_POINT('Ctrl Pts',(3.52444166666667,0.,2.62673611111111)); +#11617=CARTESIAN_POINT('Ctrl Pts',(3.46443864426841,0.,2.60411685698606)); +#11618=CARTESIAN_POINT('Ctrl Pts',(3.41570880994787,0.,2.58164986009109)); +#11619=CARTESIAN_POINT('Ctrl Pts',(3.37155641510267,0.,2.5635106589216)); +#11620=CARTESIAN_POINT('',(3.44295,0.,2.59604444444444)); +#11621=CARTESIAN_POINT('',(0.32425601398652,0.,1.17486743815985)); +#11622=CARTESIAN_POINT('',(0.489048871697221,0.,1.48353622729141)); +#11623=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11624=CARTESIAN_POINT('',(2.98145434805622,0.,2.59382922009084)); +#11625=CARTESIAN_POINT('',(2.97657777777778,0.,2.59604444444445)); +#11626=CARTESIAN_POINT('',(3.35696227525232,0.,2.42325148660112)); +#11627=CARTESIAN_POINT('',(2.89579166666667,0.,2.62673611111111)); +#11628=CARTESIAN_POINT('',(3.05216326059068,0.,2.56732856232775)); +#11629=CARTESIAN_POINT('',(2.97657657199436,0.,2.59639773898655)); +#11630=CARTESIAN_POINT('',(3.07615661527085,0.,2.55900094565185)); +#11631=CARTESIAN_POINT('Ctrl Pts',(2.97657657199436,0.,2.59639773898655)); +#11632=CARTESIAN_POINT('Ctrl Pts',(2.97821013965459,0.,2.59553277378766)); +#11633=CARTESIAN_POINT('Ctrl Pts',(2.9798360353829,0.,2.5946766192972)); +#11634=CARTESIAN_POINT('Ctrl Pts',(2.98145434805397,0.,2.59382922009201)); +#11635=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11636=CARTESIAN_POINT('',(3.07652283705523,0.,2.55064353423639)); +#11637=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.,2.55064353423639)); +#11638=CARTESIAN_POINT('Ctrl Pts',(3.04776929704683,0.,2.5613327858309)); +#11639=CARTESIAN_POINT('Ctrl Pts',(3.01637752246475,0.,2.57554235206748)); +#11640=CARTESIAN_POINT('Ctrl Pts',(2.98145434805622,0.,2.59382922009084)); +#11641=CARTESIAN_POINT('',(3.40693480489105,0.,2.40055103149709)); +#11642=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11643=CARTESIAN_POINT('',(3.09490171418293,0.,2.54416850633855)); +#11644=CARTESIAN_POINT('',(3.08840833333333,0.,2.54524444444445)); +#11645=CARTESIAN_POINT('',(2.24440509140279,0.,2.68509381883409)); +#11646=CARTESIAN_POINT('',(3.40693480489105,0.,2.40055103149709)); +#11647=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.,2.55064353423639)); +#11648=CARTESIAN_POINT('Ctrl Pts',(3.08277063691854,0.,2.54832088788984)); +#11649=CARTESIAN_POINT('Ctrl Pts',(3.08889387495057,0.,2.54616444997784)); +#11650=CARTESIAN_POINT('Ctrl Pts',(3.09490171418293,0.,2.54416850633855)); +#11651=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11652=CARTESIAN_POINT('',(3.31626838798035,0.,2.54273250661318)); +#11653=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.,2.54273250661318)); +#11654=CARTESIAN_POINT('Ctrl Pts',(3.24792060726593,0.,2.52028465748621)); +#11655=CARTESIAN_POINT('Ctrl Pts',(3.18414198197242,0.,2.51452081804766)); +#11656=CARTESIAN_POINT('Ctrl Pts',(3.09490171418294,0.,2.54416850633855)); +#11657=CARTESIAN_POINT('',(3.20976388888889,0.,2.52513611111111)); +#11658=CARTESIAN_POINT('',(2.30508286918057,0.,2.67503965216743)); +#11659=CARTESIAN_POINT('',(0.956452339253371,0.,2.15284985508439)); +#11660=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11661=CARTESIAN_POINT('',(3.33147222222222,0.,2.54524444444445)); +#11662=CARTESIAN_POINT('',(0.26851712509763,0.,1.14946743815985)); +#11663=CARTESIAN_POINT('',(0.956452339253371,0.,2.15284985508439)); +#11664=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.,2.54273250661318)); +#11665=CARTESIAN_POINT('Ctrl Pts',(3.33420230443935,0.,2.54862264447456)); +#11666=CARTESIAN_POINT('Ctrl Pts',(3.35245080544207,0.,2.55566146980482)); +#11667=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.,2.5635106589216)); +#11668=CARTESIAN_POINT('Origin',(3.52444166666667,0.,2.62673611111111)); +#11669=CARTESIAN_POINT('',(3.44295,0.4,2.59604444444444)); +#11670=CARTESIAN_POINT('',(3.44295,0.,2.59604444444444)); +#11671=CARTESIAN_POINT('',(3.52444166666667,0.4,2.62673611111111)); +#11672=CARTESIAN_POINT('',(3.44295,0.4,2.59604444444444)); +#11673=CARTESIAN_POINT('',(3.52444166666667,0.,2.62673611111111)); +#11674=CARTESIAN_POINT('Origin',(3.44295,0.,2.59604444444444)); +#11675=CARTESIAN_POINT('',(3.37155641510266,0.4,2.56351065892161)); +#11676=CARTESIAN_POINT('',(3.37155641510266,0.,2.56351065892161)); +#11677=CARTESIAN_POINT('',(3.44295,0.4,2.59604444444444)); +#11678=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.,2.5635106589216)); +#11679=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.4,2.5635106589216)); +#11680=CARTESIAN_POINT('Ctrl Pts',(3.35245080544207,0.,2.55566146980482)); +#11681=CARTESIAN_POINT('Ctrl Pts',(3.35245080544207,0.4,2.55566146980482)); +#11682=CARTESIAN_POINT('Ctrl Pts',(3.33420230443934,0.,2.54862264447456)); +#11683=CARTESIAN_POINT('Ctrl Pts',(3.33420230443934,0.4,2.54862264447456)); +#11684=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.,2.54273250661318)); +#11685=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.4,2.54273250661318)); +#11686=CARTESIAN_POINT('',(3.31626838798035,0.4,2.54273250661318)); +#11687=CARTESIAN_POINT('',(3.31626838798035,0.,2.54273250661318)); +#11688=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.4,2.5635106589216)); +#11689=CARTESIAN_POINT('Ctrl Pts',(3.35245080544207,0.4,2.55566146980482)); +#11690=CARTESIAN_POINT('Ctrl Pts',(3.33420230443935,0.4,2.54862264447456)); +#11691=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.4,2.54273250661318)); +#11692=CARTESIAN_POINT('Origin',(3.31626838798035,0.,2.54273250661318)); +#11693=CARTESIAN_POINT('',(3.20976388888889,0.4,2.52513611111111)); +#11694=CARTESIAN_POINT('',(3.20976388888889,0.,2.52513611111111)); +#11695=CARTESIAN_POINT('',(3.33147222222222,0.4,2.54524444444445)); +#11696=CARTESIAN_POINT('Origin',(3.20976388888889,0.,2.52513611111111)); +#11697=CARTESIAN_POINT('',(3.09490171418293,0.4,2.54416850633855)); +#11698=CARTESIAN_POINT('',(3.09490171418293,0.,2.54416850633855)); +#11699=CARTESIAN_POINT('',(3.20976388888889,0.4,2.52513611111111)); +#11700=CARTESIAN_POINT('Ctrl Pts',(3.09490171418293,0.,2.54416850633855)); +#11701=CARTESIAN_POINT('Ctrl Pts',(3.09490171418293,0.4,2.54416850633855)); +#11702=CARTESIAN_POINT('Ctrl Pts',(3.08889387495057,0.,2.54616444997784)); +#11703=CARTESIAN_POINT('Ctrl Pts',(3.08889387495057,0.4,2.54616444997784)); +#11704=CARTESIAN_POINT('Ctrl Pts',(3.08277063691854,0.,2.54832088788984)); +#11705=CARTESIAN_POINT('Ctrl Pts',(3.08277063691854,0.4,2.54832088788984)); +#11706=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.,2.55064353423639)); +#11707=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.4,2.55064353423639)); +#11708=CARTESIAN_POINT('',(3.07652283705523,0.4,2.55064353423639)); +#11709=CARTESIAN_POINT('',(3.07652283705523,0.,2.55064353423639)); +#11710=CARTESIAN_POINT('Ctrl Pts',(3.09490171418293,0.4,2.54416850633855)); +#11711=CARTESIAN_POINT('Ctrl Pts',(3.08889387495057,0.4,2.54616444997784)); +#11712=CARTESIAN_POINT('Ctrl Pts',(3.08277063691854,0.4,2.54832088788984)); +#11713=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.4,2.55064353423639)); +#11714=CARTESIAN_POINT('Origin',(3.07652283705523,0.,2.55064353423639)); +#11715=CARTESIAN_POINT('',(2.98145434805622,0.4,2.59382922009084)); +#11716=CARTESIAN_POINT('',(2.98145434805622,0.,2.59382922009084)); +#11717=CARTESIAN_POINT('',(3.08840833333333,0.4,2.54524444444445)); +#11718=CARTESIAN_POINT('Ctrl Pts',(2.98145434805397,0.,2.59382922009201)); +#11719=CARTESIAN_POINT('Ctrl Pts',(2.98145434805397,0.4,2.59382922009201)); +#11720=CARTESIAN_POINT('Ctrl Pts',(2.9798360353829,0.,2.5946766192972)); +#11721=CARTESIAN_POINT('Ctrl Pts',(2.9798360353829,0.4,2.5946766192972)); +#11722=CARTESIAN_POINT('Ctrl Pts',(2.97821013965459,0.,2.59553277378766)); +#11723=CARTESIAN_POINT('Ctrl Pts',(2.97821013965459,0.4,2.59553277378766)); +#11724=CARTESIAN_POINT('Ctrl Pts',(2.97657657199436,0.,2.59639773898655)); +#11725=CARTESIAN_POINT('Ctrl Pts',(2.97657657199436,0.4,2.59639773898655)); +#11726=CARTESIAN_POINT('',(2.97657657199436,0.4,2.59639773898655)); +#11727=CARTESIAN_POINT('',(2.97657657199436,0.,2.59639773898655)); +#11728=CARTESIAN_POINT('Ctrl Pts',(2.98145434805397,0.4,2.59382922009201)); +#11729=CARTESIAN_POINT('Ctrl Pts',(2.9798360353829,0.4,2.5946766192972)); +#11730=CARTESIAN_POINT('Ctrl Pts',(2.97821013965459,0.4,2.59553277378766)); +#11731=CARTESIAN_POINT('Ctrl Pts',(2.97657657199436,0.4,2.59639773898655)); +#11732=CARTESIAN_POINT('Origin',(2.97657657199436,0.,2.59639773898655)); +#11733=CARTESIAN_POINT('',(2.89579166666667,0.4,2.62673611111111)); +#11734=CARTESIAN_POINT('',(2.89579166666667,0.,2.62673611111111)); +#11735=CARTESIAN_POINT('',(2.97657657199436,0.4,2.59639773898655)); +#11736=CARTESIAN_POINT('Origin',(2.89579166666667,0.,2.62673611111111)); +#11737=CARTESIAN_POINT('',(2.97657777777778,0.4,2.59604444444445)); +#11738=CARTESIAN_POINT('',(2.97657777777778,0.,2.59604444444445)); +#11739=CARTESIAN_POINT('',(2.89579166666667,0.4,2.62673611111111)); +#11740=CARTESIAN_POINT('Origin',(2.97657777777778,0.,2.59604444444445)); +#11741=CARTESIAN_POINT('',(2.98145434805622,0.,2.59382922009084)); +#11742=CARTESIAN_POINT('',(3.08840833333333,0.4,2.54524444444445)); +#11743=CARTESIAN_POINT('Ctrl Pts',(2.98145434805622,0.,2.59382922009084)); +#11744=CARTESIAN_POINT('Ctrl Pts',(2.98145434805622,0.4,2.59382922009084)); +#11745=CARTESIAN_POINT('Ctrl Pts',(3.01637752246475,0.,2.57554235206748)); +#11746=CARTESIAN_POINT('Ctrl Pts',(3.01637752246475,0.4,2.57554235206748)); +#11747=CARTESIAN_POINT('Ctrl Pts',(3.04776929704683,0.,2.5613327858309)); +#11748=CARTESIAN_POINT('Ctrl Pts',(3.04776929704683,0.4,2.5613327858309)); +#11749=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.,2.55064353423639)); +#11750=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.4,2.55064353423639)); +#11751=CARTESIAN_POINT('',(3.07652283705523,0.,2.55064353423639)); +#11752=CARTESIAN_POINT('Ctrl Pts',(2.98145434805622,0.4,2.59382922009084)); +#11753=CARTESIAN_POINT('Ctrl Pts',(3.01637752246475,0.4,2.57554235206748)); +#11754=CARTESIAN_POINT('Ctrl Pts',(3.04776929704683,0.4,2.5613327858309)); +#11755=CARTESIAN_POINT('Ctrl Pts',(3.07652283705523,0.4,2.55064353423639)); +#11756=CARTESIAN_POINT('Origin',(3.07652283705523,0.,2.55064353423639)); +#11757=CARTESIAN_POINT('',(3.08840833333333,0.4,2.54524444444445)); +#11758=CARTESIAN_POINT('',(3.08840833333333,0.,2.54524444444445)); +#11759=CARTESIAN_POINT('',(3.08840833333333,0.4,2.54524444444445)); +#11760=CARTESIAN_POINT('Origin',(3.08840833333333,0.,2.54524444444445)); +#11761=CARTESIAN_POINT('',(3.09490171418293,0.,2.54416850633855)); +#11762=CARTESIAN_POINT('',(3.20976388888889,0.4,2.52513611111111)); +#11763=CARTESIAN_POINT('Ctrl Pts',(3.09490171418294,0.,2.54416850633855)); +#11764=CARTESIAN_POINT('Ctrl Pts',(3.09490171418294,0.4,2.54416850633855)); +#11765=CARTESIAN_POINT('Ctrl Pts',(3.18414198197242,0.,2.51452081804766)); +#11766=CARTESIAN_POINT('Ctrl Pts',(3.18414198197242,0.4,2.51452081804766)); +#11767=CARTESIAN_POINT('Ctrl Pts',(3.24792060726593,0.,2.52028465748621)); +#11768=CARTESIAN_POINT('Ctrl Pts',(3.24792060726593,0.4,2.52028465748621)); +#11769=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.,2.54273250661318)); +#11770=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.4,2.54273250661318)); +#11771=CARTESIAN_POINT('',(3.31626838798035,0.,2.54273250661318)); +#11772=CARTESIAN_POINT('Ctrl Pts',(3.09490171418294,0.4,2.54416850633855)); +#11773=CARTESIAN_POINT('Ctrl Pts',(3.18414198197242,0.4,2.51452081804766)); +#11774=CARTESIAN_POINT('Ctrl Pts',(3.24792060726593,0.4,2.52028465748621)); +#11775=CARTESIAN_POINT('Ctrl Pts',(3.31626838798035,0.4,2.54273250661318)); +#11776=CARTESIAN_POINT('Origin',(3.31626838798035,0.,2.54273250661318)); +#11777=CARTESIAN_POINT('',(3.33147222222222,0.4,2.54524444444445)); +#11778=CARTESIAN_POINT('',(3.33147222222222,0.,2.54524444444445)); +#11779=CARTESIAN_POINT('',(3.33147222222222,0.4,2.54524444444445)); +#11780=CARTESIAN_POINT('Origin',(3.33147222222222,0.,2.54524444444445)); +#11781=CARTESIAN_POINT('',(3.37155641510266,0.,2.56351065892161)); +#11782=CARTESIAN_POINT('',(3.44295,0.4,2.59604444444444)); +#11783=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.,2.56351065892161)); +#11784=CARTESIAN_POINT('Ctrl Pts',(3.37155641510266,0.4,2.56351065892161)); +#11785=CARTESIAN_POINT('Ctrl Pts',(3.41570880994787,0.,2.58164986009109)); +#11786=CARTESIAN_POINT('Ctrl Pts',(3.41570880994787,0.4,2.58164986009109)); +#11787=CARTESIAN_POINT('Ctrl Pts',(3.46443864426841,0.,2.60411685698606)); +#11788=CARTESIAN_POINT('Ctrl Pts',(3.46443864426841,0.4,2.60411685698606)); +#11789=CARTESIAN_POINT('Ctrl Pts',(3.52444166666667,0.,2.62673611111111)); +#11790=CARTESIAN_POINT('Ctrl Pts',(3.52444166666667,0.4,2.62673611111111)); +#11791=CARTESIAN_POINT('Ctrl Pts',(3.37155641510267,0.4,2.5635106589216)); +#11792=CARTESIAN_POINT('Ctrl Pts',(3.41570880994787,0.4,2.58164986009109)); +#11793=CARTESIAN_POINT('Ctrl Pts',(3.46443864426841,0.4,2.60411685698606)); +#11794=CARTESIAN_POINT('Ctrl Pts',(3.52444166666667,0.4,2.62673611111111)); +#11795=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11796=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11797=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11798=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11799=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11800=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11801=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11802=CARTESIAN_POINT('',(5.20789763132731,0.4,2.42388861974081)); +#11803=CARTESIAN_POINT('',(5.19766666666667,0.4,2.504675)); +#11804=CARTESIAN_POINT('',(5.19766666666667,0.4,2.504675)); +#11805=CARTESIAN_POINT('',(5.20789743076358,0.4,2.42353606043485)); +#11806=CARTESIAN_POINT('',(5.19766666666667,0.4,2.504675)); +#11807=CARTESIAN_POINT('',(5.20789763132731,0.4,2.13954847123888)); +#11808=CARTESIAN_POINT('Origin',(5.20789763132731,0.,2.42388861974081)); +#11809=CARTESIAN_POINT('',(5.19766666666667,0.,2.504675)); +#11810=CARTESIAN_POINT('',(5.20789763132731,0.,2.42388861974081)); +#11811=CARTESIAN_POINT('',(5.70215857108317,0.,-1.4789253915304)); +#11812=CARTESIAN_POINT('',(5.19766666666667,0.,2.504675)); +#11813=CARTESIAN_POINT('',(5.20789763132731,0.,2.42388861974081)); +#11814=CARTESIAN_POINT('Origin',(5.20789763132731,0.,2.42353606043485)); +#11815=CARTESIAN_POINT('',(5.20789743076358,0.,2.42353606043485)); +#11816=CARTESIAN_POINT('',(5.20789763132731,0.,-1.87725149609383)); +#11817=CARTESIAN_POINT('',(5.20789743076358,0.,2.42353606043485)); +#11818=CARTESIAN_POINT('Origin',(5.19766666666667,0.,2.504675)); +#11819=CARTESIAN_POINT('',(5.69510457944186,0.,-1.44052223925101)); +#11820=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11821=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11822=CARTESIAN_POINT('',(-5.39095839783088,0.,1.51125328666162)); +#11823=CARTESIAN_POINT('',(-5.39095833333333,0.,1.51160555555556)); +#11824=CARTESIAN_POINT('',(-5.39095833333333,0.,-2.33321674853348)); +#11825=CARTESIAN_POINT('',(-5.37049722222222,0.,1.59239166666667)); +#11826=CARTESIAN_POINT('',(-6.13609064083844,0.,-1.43038234821467)); +#11827=CARTESIAN_POINT('',(-6.14408718208612,0.,-1.47525377474161)); +#11828=CARTESIAN_POINT('Origin',(-5.39095846037052,0.,1.51125330243269)); +#11829=CARTESIAN_POINT('',(-5.37049722222222,0.4,1.59239166666667)); +#11830=CARTESIAN_POINT('',(-5.37049722222222,0.,1.59239166666667)); +#11831=CARTESIAN_POINT('',(-5.39095839783088,0.4,1.51125328666162)); +#11832=CARTESIAN_POINT('',(-5.37049722222222,0.4,1.59239166666667)); +#11833=CARTESIAN_POINT('',(-5.39095839783088,0.,1.51125328666162)); +#11834=CARTESIAN_POINT('Origin',(-5.37049722222222,0.,1.59239166666667)); +#11835=CARTESIAN_POINT('',(-5.39095833333333,0.4,1.51160555555556)); +#11836=CARTESIAN_POINT('',(-5.39095833333333,0.,1.51160555555556)); +#11837=CARTESIAN_POINT('',(-5.39095833333333,0.4,1.51160555555556)); +#11838=CARTESIAN_POINT('Origin',(-5.39095833333333,0.,1.51160555555556)); +#11839=CARTESIAN_POINT('',(-5.39095833333333,0.4,-1.06261388888889)); +#11840=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11841=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11842=CARTESIAN_POINT('',(5.15709722222223,0.4,2.56570555555556)); +#11843=CARTESIAN_POINT('',(5.08618888888889,0.4,2.606275)); +#11844=CARTESIAN_POINT('',(5.08618888888889,0.4,2.606275)); +#11845=CARTESIAN_POINT('',(5.01528055555556,0.4,2.62673611111111)); +#11846=CARTESIAN_POINT('',(5.01528055555556,0.4,2.62673611111111)); +#11847=CARTESIAN_POINT('',(5.08618888888889,0.4,2.60592222222223)); +#11848=CARTESIAN_POINT('',(5.01528055555556,0.4,2.62673611111111)); +#11849=CARTESIAN_POINT('',(5.08618888888889,0.4,2.60592222222223)); +#11850=CARTESIAN_POINT('Origin',(5.15709722222223,0.,2.56570555555556)); +#11851=CARTESIAN_POINT('',(5.08618888888889,0.,2.606275)); +#11852=CARTESIAN_POINT('',(5.15709722222223,0.,2.56570555555556)); +#11853=CARTESIAN_POINT('',(5.06449547086299,0.,2.6186866570795)); +#11854=CARTESIAN_POINT('',(5.08618888888889,0.,2.606275)); +#11855=CARTESIAN_POINT('',(5.15709722222223,0.,2.56570555555556)); +#11856=CARTESIAN_POINT('Origin',(5.08618888888889,0.,2.60592222222223)); +#11857=CARTESIAN_POINT('',(5.08618888888889,0.,2.60592222222223)); +#11858=CARTESIAN_POINT('',(5.01218732112271,0.,2.64789326065678)); +#11859=CARTESIAN_POINT('',(5.08618888888889,0.,2.60592222222223)); +#11860=CARTESIAN_POINT('Origin',(5.01528055555556,0.,2.62673611111111)); +#11861=CARTESIAN_POINT('',(5.01528055555556,0.,2.62673611111111)); +#11862=CARTESIAN_POINT('',(3.8542348438957,0.,2.96754057373762)); +#11863=CARTESIAN_POINT('',(5.01528055555556,0.,2.62673611111111)); +#11864=CARTESIAN_POINT('Origin',(5.08618888888889,0.,2.606275)); +#11865=CARTESIAN_POINT('',(3.86636621261382,0.,2.95826363295499)); +#11866=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11867=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11868=CARTESIAN_POINT('',(-2.713375,0.,3.40672777777778)); +#11869=CARTESIAN_POINT('',(-2.70279166666667,0.,3.39685)); +#11870=CARTESIAN_POINT('',(0.383070883765666,0.,0.516711619596395)); +#11871=CARTESIAN_POINT('',(-2.68268333333333,0.,3.38661944444445)); +#11872=CARTESIAN_POINT('',(0.279364717969834,0.,1.87961254114985)); +#11873=CARTESIAN_POINT('',(-2.713375,0.,3.39685)); +#11874=CARTESIAN_POINT('',(-0.0972400092800682,0.,2.52480500309342)); +#11875=CARTESIAN_POINT('',(-2.73348333333333,0.,3.40672777777778)); +#11876=CARTESIAN_POINT('',(0.227279079923139,0.,1.95231817126592)); +#11877=CARTESIAN_POINT('',(-1.4023731857482,0.,3.40672777777778)); +#11878=CARTESIAN_POINT('Origin',(-0.0913713714963982,0.,-6.17803905262251)); +#11879=CARTESIAN_POINT('',(-2.662575,0.,3.37638888888889)); +#11880=CARTESIAN_POINT('',(0.289418884636501,0.,1.87449726337207)); +#11881=CARTESIAN_POINT('',(-2.65234444444444,0.,3.36615833333333)); +#11882=CARTESIAN_POINT('',(0.373948170281424,0.,0.339865718606973)); +#11883=CARTESIAN_POINT('',(-2.67245277777778,0.,3.37638888888889)); +#11884=CARTESIAN_POINT('',(0.283464444267133,0.,1.87250117942724)); +#11885=CARTESIAN_POINT('',(0.356309281392535,0.,0.347626829718085)); +#11886=CARTESIAN_POINT('Origin',(-2.713375,0.,3.40672777777778)); +#11887=CARTESIAN_POINT('',(-2.73348333333333,0.4,3.40672777777778)); +#11888=CARTESIAN_POINT('',(-2.73348333333333,0.,3.40672777777778)); +#11889=CARTESIAN_POINT('',(-2.713375,0.4,3.40672777777778)); +#11890=CARTESIAN_POINT('',(-2.77405277777778,0.4,3.40672777777778)); +#11891=CARTESIAN_POINT('',(-2.713375,0.,3.40672777777778)); +#11892=CARTESIAN_POINT('Origin',(-2.73348333333333,0.,3.40672777777778)); +#11893=CARTESIAN_POINT('',(-2.713375,0.4,3.39685)); +#11894=CARTESIAN_POINT('',(-2.713375,0.,3.39685)); +#11895=CARTESIAN_POINT('',(-2.73348333333333,0.4,3.40672777777778)); +#11896=CARTESIAN_POINT('Origin',(-2.713375,0.,3.39685)); +#11897=CARTESIAN_POINT('',(-2.68268333333333,0.4,3.38661944444445)); +#11898=CARTESIAN_POINT('',(-2.68268333333333,0.,3.38661944444445)); +#11899=CARTESIAN_POINT('',(-2.713375,0.4,3.39685)); +#11900=CARTESIAN_POINT('Origin',(-2.68268333333333,0.,3.38661944444445)); +#11901=CARTESIAN_POINT('',(-2.67245277777778,0.4,3.37638888888889)); +#11902=CARTESIAN_POINT('',(-2.67245277777778,0.,3.37638888888889)); +#11903=CARTESIAN_POINT('',(-2.68268333333333,0.4,3.38661944444445)); +#11904=CARTESIAN_POINT('Origin',(-2.67245277777778,0.,3.37638888888889)); +#11905=CARTESIAN_POINT('',(-2.65234444444444,0.4,3.36615833333333)); +#11906=CARTESIAN_POINT('',(-2.65234444444444,0.,3.36615833333333)); +#11907=CARTESIAN_POINT('',(-2.67245277777778,0.4,3.37638888888889)); +#11908=CARTESIAN_POINT('Origin',(-2.65234444444444,0.,3.36615833333333)); +#11909=CARTESIAN_POINT('',(-2.662575,0.4,3.37638888888889)); +#11910=CARTESIAN_POINT('',(-2.662575,0.,3.37638888888889)); +#11911=CARTESIAN_POINT('',(-2.662575,0.4,3.37638888888889)); +#11912=CARTESIAN_POINT('Origin',(-2.662575,0.,3.37638888888889)); +#11913=CARTESIAN_POINT('',(-2.68268333333333,0.,3.38661944444445)); +#11914=CARTESIAN_POINT('',(-2.70279166666667,0.4,3.39685)); +#11915=CARTESIAN_POINT('Origin',(-2.68268333333333,0.,3.38661944444445)); +#11916=CARTESIAN_POINT('',(-2.70279166666667,0.4,3.39685)); +#11917=CARTESIAN_POINT('',(-2.70279166666667,0.,3.39685)); +#11918=CARTESIAN_POINT('',(-2.70279166666667,0.4,3.39685)); +#11919=CARTESIAN_POINT('Origin',(-2.70279166666667,0.,3.39685)); +#11920=CARTESIAN_POINT('',(-2.713375,0.4,3.40672777777778)); +#11921=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11922=CARTESIAN_POINT('Origin',(-0.0915297804090698,0.4,0.219204166666667)); +#11923=CARTESIAN_POINT('Origin',(3.36216388888889,2.5,-1.27569166666667)); +#11924=CARTESIAN_POINT('',(3.36216388888889,2.5,1.72433055555556)); +#11925=CARTESIAN_POINT('',(3.36216388888889,2.5,-1.27569166666667)); +#11926=CARTESIAN_POINT('',(3.36216388888889,2.5,-0.63716037456237)); +#11927=CARTESIAN_POINT('',(3.36216388888889,5.,1.72433055555556)); +#11928=CARTESIAN_POINT('',(3.36216388888889,2.5,1.72433055555556)); +#11929=CARTESIAN_POINT('',(3.36216388888889,5.,-1.27569166666667)); +#11930=CARTESIAN_POINT('',(3.36216388888889,5.,-1.27569166666667)); +#11931=CARTESIAN_POINT('',(3.36216388888889,2.5,-1.27569166666667)); +#11932=CARTESIAN_POINT('Origin',(2.15531111111111,2.5,-1.27569166666667)); +#11933=CARTESIAN_POINT('',(2.15531111111111,2.5,-1.27569166666667)); +#11934=CARTESIAN_POINT('',(1.03189023727975,2.5,-1.27569166666667)); +#11935=CARTESIAN_POINT('',(2.15531111111111,5.,-1.27569166666667)); +#11936=CARTESIAN_POINT('',(2.15531111111111,5.,-1.27569166666667)); +#11937=CARTESIAN_POINT('',(2.15531111111111,2.5,-1.27569166666667)); +#11938=CARTESIAN_POINT('Origin',(2.15531111111111,2.5,1.72433055555556)); +#11939=CARTESIAN_POINT('',(2.15531111111111,2.5,1.72433055555556)); +#11940=CARTESIAN_POINT('',(2.15531111111111,2.5,0.862850736548741)); +#11941=CARTESIAN_POINT('',(2.15531111111111,5.,1.72433055555556)); +#11942=CARTESIAN_POINT('',(2.15531111111111,5.,1.72433055555556)); +#11943=CARTESIAN_POINT('',(2.15531111111111,2.5,1.72433055555556)); +#11944=CARTESIAN_POINT('Origin',(3.36216388888889,2.5,1.72433055555556)); +#11945=CARTESIAN_POINT('',(1.63531662616864,2.5,1.72433055555556)); +#11946=CARTESIAN_POINT('',(3.36216388888889,5.,1.72433055555556)); +#11947=CARTESIAN_POINT('Origin',(-2.33766666666667,2.5,-1.27569166666667)); +#11948=CARTESIAN_POINT('',(-2.33766666666667,2.5,1.72433055555556)); +#11949=CARTESIAN_POINT('',(-2.33766666666667,2.5,-1.27569166666667)); +#11950=CARTESIAN_POINT('',(-2.33766666666667,2.5,-0.63716037456237)); +#11951=CARTESIAN_POINT('',(-2.33766666666667,5.,1.72433055555556)); +#11952=CARTESIAN_POINT('',(-2.33766666666667,2.5,1.72433055555556)); +#11953=CARTESIAN_POINT('',(-2.33766666666667,5.,-1.27569166666667)); +#11954=CARTESIAN_POINT('',(-2.33766666666667,5.,-1.27569166666667)); +#11955=CARTESIAN_POINT('',(-2.33766666666667,2.5,-1.27569166666667)); +#11956=CARTESIAN_POINT('Origin',(-3.54487222222222,2.5,-1.27569166666667)); +#11957=CARTESIAN_POINT('',(-3.54487222222222,2.5,-1.27569166666667)); +#11958=CARTESIAN_POINT('',(-1.81820142938691,2.5,-1.27569166666667)); +#11959=CARTESIAN_POINT('',(-3.54487222222222,5.,-1.27569166666667)); +#11960=CARTESIAN_POINT('',(-3.54487222222222,5.,-1.27569166666667)); +#11961=CARTESIAN_POINT('',(-3.54487222222222,2.5,-1.27569166666667)); +#11962=CARTESIAN_POINT('Origin',(-3.54487222222222,2.5,1.72433055555556)); +#11963=CARTESIAN_POINT('',(-3.54487222222222,2.5,1.72433055555556)); +#11964=CARTESIAN_POINT('',(-3.54487222222222,2.5,0.862850736548741)); +#11965=CARTESIAN_POINT('',(-3.54487222222222,5.,1.72433055555556)); +#11966=CARTESIAN_POINT('',(-3.54487222222222,5.,1.72433055555556)); +#11967=CARTESIAN_POINT('',(-3.54487222222222,2.5,1.72433055555556)); +#11968=CARTESIAN_POINT('Origin',(-2.33766666666667,2.5,1.72433055555556)); +#11969=CARTESIAN_POINT('',(-1.21459865160914,2.5,1.72433055555556)); +#11970=CARTESIAN_POINT('',(-3.54487222222222,5.,1.72433055555556)); +#11971=CARTESIAN_POINT('Origin',(-3.70715,2.5,-2.17774444444444)); +#11972=CARTESIAN_POINT('',(-5.19798888888889,2.5,-2.17774444444444)); +#11973=CARTESIAN_POINT('',(-3.70715,2.5,-2.17774444444444)); +#11974=CARTESIAN_POINT('',(-1.8993403182758,2.5,-2.17774444444444)); +#11975=CARTESIAN_POINT('',(-5.19798888888889,5.,-2.17774444444444)); +#11976=CARTESIAN_POINT('',(-5.19798888888889,2.5,-2.17774444444444)); +#11977=CARTESIAN_POINT('',(-3.70715,5.,-2.17774444444444)); +#11978=CARTESIAN_POINT('',(-5.19798888888889,5.,-2.17774444444444)); +#11979=CARTESIAN_POINT('',(-3.70715,2.5,-2.17774444444444)); +#11980=CARTESIAN_POINT('Origin',(-3.392825,2.5,-2.61316592881945)); +#11981=CARTESIAN_POINT('',(-3.0785,2.5,-2.17774444444444)); +#11982=CARTESIAN_POINT('Origin',(-3.392825,2.5,-2.61316592881945)); +#11983=CARTESIAN_POINT('',(-3.0785,5.,-2.17774444444444)); +#11984=CARTESIAN_POINT('Origin',(-3.392825,5.,-2.61316592881945)); +#11985=CARTESIAN_POINT('',(-3.0785,2.5,-2.17774444444444)); +#11986=CARTESIAN_POINT('Origin',(2.89579166666667,2.5,-2.17774444444444)); +#11987=CARTESIAN_POINT('',(2.89579166666667,2.5,-2.17774444444444)); +#11988=CARTESIAN_POINT('',(1.40213051505753,2.5,-2.17774444444444)); +#11989=CARTESIAN_POINT('',(2.89579166666667,5.,-2.17774444444444)); +#11990=CARTESIAN_POINT('',(-3.0785,5.,-2.17774444444444)); +#11991=CARTESIAN_POINT('',(2.89579166666667,2.5,-2.17774444444444)); +#11992=CARTESIAN_POINT('Origin',(3.21011666666667,2.5,-2.61316531635803)); +#11993=CARTESIAN_POINT('',(3.52444166666667,2.5,-2.17774444444444)); +#11994=CARTESIAN_POINT('Origin',(3.21011666666667,2.5,-2.61316531635803)); +#11995=CARTESIAN_POINT('',(3.52444166666667,5.,-2.17774444444444)); +#11996=CARTESIAN_POINT('Origin',(3.21011666666667,5.,-2.61316531635803)); +#11997=CARTESIAN_POINT('',(3.52444166666667,2.5,-2.17774444444444)); +#11998=CARTESIAN_POINT('Origin',(5.01528055555556,2.5,-2.17774444444444)); +#11999=CARTESIAN_POINT('',(5.01528055555556,2.5,-2.17774444444444)); +#12000=CARTESIAN_POINT('',(2.46187495950197,2.5,-2.17774444444444)); +#12001=CARTESIAN_POINT('',(5.01528055555556,5.,-2.17774444444444)); +#12002=CARTESIAN_POINT('',(3.52444166666667,5.,-2.17774444444444)); +#12003=CARTESIAN_POINT('',(5.01528055555556,2.5,-2.17774444444444)); +#12004=CARTESIAN_POINT('Origin',(5.01703458828364,2.5,-1.98653535832383)); +#12005=CARTESIAN_POINT('',(5.20789722222222,2.5,-1.97489722222222)); +#12006=CARTESIAN_POINT('Origin',(5.01703458828364,2.5,-1.98653535832383)); +#12007=CARTESIAN_POINT('',(5.20789722222222,5.,-1.97489722222222)); +#12008=CARTESIAN_POINT('Origin',(5.01703458828364,5.,-1.98653535832383)); +#12009=CARTESIAN_POINT('',(5.20789722222222,2.5,-1.97489722222222)); +#12010=CARTESIAN_POINT('Origin',(5.20789722222223,2.5,-1.69091111111111)); +#12011=CARTESIAN_POINT('',(5.20789722222223,2.5,-1.69091111111111)); +#12012=CARTESIAN_POINT('',(5.20789722222223,2.5,-0.844770096784603)); +#12013=CARTESIAN_POINT('',(5.20789722222223,5.,-1.69091111111111)); +#12014=CARTESIAN_POINT('',(5.20789722222222,5.,-1.97489722222222)); +#12015=CARTESIAN_POINT('',(5.20789722222223,2.5,-1.69091111111111)); +#12016=CARTESIAN_POINT('Origin',(5.64037765612832,2.5,-1.37693944248907)); +#12017=CARTESIAN_POINT('',(5.20789763132731,2.5,-1.0629672103458)); +#12018=CARTESIAN_POINT('Origin',(5.64037765612832,2.5,-1.37693944248907)); +#12019=CARTESIAN_POINT('',(5.20789763132731,5.,-1.0629672103458)); +#12020=CARTESIAN_POINT('Origin',(5.64037765612832,5.,-1.37693944248907)); +#12021=CARTESIAN_POINT('',(5.20789763132731,2.5,-1.0629672103458)); +#12022=CARTESIAN_POINT('Origin',(5.20789763132731,2.5,1.51125187344021)); +#12023=CARTESIAN_POINT('',(5.20789763132731,2.5,1.51125187344021)); +#12024=CARTESIAN_POINT('',(5.20789763132731,2.5,0.75631139549107)); +#12025=CARTESIAN_POINT('',(5.20789763132731,5.,1.51125187344021)); +#12026=CARTESIAN_POINT('',(5.20789763132731,5.,-1.0629672103458)); +#12027=CARTESIAN_POINT('',(5.20789763132731,2.5,1.51125187344021)); +#12028=CARTESIAN_POINT('Origin',(5.64277028492032,2.5,1.82540017233955)); +#12029=CARTESIAN_POINT('',(5.20789763132731,2.5,2.13954847123888)); +#12030=CARTESIAN_POINT('Origin',(5.64277028492032,2.5,1.82540017233955)); +#12031=CARTESIAN_POINT('',(5.20789763132731,5.,2.13954847123888)); +#12032=CARTESIAN_POINT('Origin',(5.64277028492032,5.,1.82540017233955)); +#12033=CARTESIAN_POINT('',(5.20789763132731,2.5,2.13954847123888)); +#12034=CARTESIAN_POINT('Origin',(5.20789763132731,2.5,2.42388861974081)); +#12035=CARTESIAN_POINT('',(5.20789763132731,2.5,2.42388861974081)); +#12036=CARTESIAN_POINT('',(5.20789763132731,2.5,1.21262976864137)); +#12037=CARTESIAN_POINT('',(5.20789763132731,5.,2.42388861974081)); +#12038=CARTESIAN_POINT('',(5.20789763132731,5.,2.13954847123888)); +#12039=CARTESIAN_POINT('',(5.20789763132731,2.5,2.42388861974081)); +#12040=CARTESIAN_POINT('Origin',(5.01703429691707,2.5,2.43552634794218)); +#12041=CARTESIAN_POINT('',(5.01528055555556,2.5,2.62673611111111)); +#12042=CARTESIAN_POINT('Origin',(5.01703429691707,2.5,2.43552634794218)); +#12043=CARTESIAN_POINT('',(5.01528055555556,5.,2.62673611111111)); +#12044=CARTESIAN_POINT('Origin',(5.01703429691707,5.,2.43552634794218)); +#12045=CARTESIAN_POINT('',(5.01528055555556,2.5,2.62673611111111)); +#12046=CARTESIAN_POINT('Origin',(3.52444166666667,2.5,2.62673611111111)); +#12047=CARTESIAN_POINT('',(3.52444166666667,2.5,2.62673611111111)); +#12048=CARTESIAN_POINT('',(1.71645551505753,2.5,2.62673611111111)); +#12049=CARTESIAN_POINT('',(3.52444166666667,5.,2.62673611111111)); +#12050=CARTESIAN_POINT('',(5.01528055555556,5.,2.62673611111111)); +#12051=CARTESIAN_POINT('',(3.52444166666667,2.5,2.62673611111111)); +#12052=CARTESIAN_POINT('Origin',(3.21011666666667,2.5,3.0621569830247)); +#12053=CARTESIAN_POINT('',(2.89579166666667,2.5,2.62673611111111)); +#12054=CARTESIAN_POINT('Origin',(3.21011666666667,2.5,3.0621569830247)); +#12055=CARTESIAN_POINT('',(2.89579166666667,5.,2.62673611111111)); +#12056=CARTESIAN_POINT('Origin',(3.21011666666667,5.,3.0621569830247)); +#12057=CARTESIAN_POINT('',(2.89579166666667,2.5,2.62673611111111)); +#12058=CARTESIAN_POINT('Origin',(-3.39264861111111,2.5,3.08039580812073)); +#12059=CARTESIAN_POINT('',(-3.07814722222222,2.5,2.62673611111111)); +#12060=CARTESIAN_POINT('',(-3.70715,2.5,2.62673611111111)); +#12061=CARTESIAN_POINT('Origin',(-3.39264861111111,2.5,3.08039580812073)); +#12062=CARTESIAN_POINT('',(-3.07814722222222,5.,2.62673611111111)); +#12063=CARTESIAN_POINT('',(-3.07814722222222,2.5,2.62673611111111)); +#12064=CARTESIAN_POINT('',(-3.70715,5.,2.62673611111111)); +#12065=CARTESIAN_POINT('Origin',(-3.39264861111111,5.,3.08039580812073)); +#12066=CARTESIAN_POINT('',(-3.70715,2.5,2.62673611111111)); +#12067=CARTESIAN_POINT('Origin',(-5.19798888888889,2.5,2.62673611111111)); +#12068=CARTESIAN_POINT('',(-5.19798888888889,2.5,2.62673611111111)); +#12069=CARTESIAN_POINT('',(-2.64475976272025,2.5,2.62673611111111)); +#12070=CARTESIAN_POINT('',(-5.19798888888889,5.,2.62673611111111)); +#12071=CARTESIAN_POINT('',(-3.70715,5.,2.62673611111111)); +#12072=CARTESIAN_POINT('',(-5.19798888888889,2.5,2.62673611111111)); +#12073=CARTESIAN_POINT('Origin',(-5.2000900388298,2.5,2.43552499732543)); +#12074=CARTESIAN_POINT('',(-5.39095833333333,2.5,2.42388888888889)); +#12075=CARTESIAN_POINT('Origin',(-5.2000900388298,2.5,2.43552499732543)); +#12076=CARTESIAN_POINT('',(-5.39095833333333,5.,2.42388888888889)); +#12077=CARTESIAN_POINT('Origin',(-5.2000900388298,5.,2.43552499732543)); +#12078=CARTESIAN_POINT('',(-5.39095833333333,2.5,2.42388888888889)); +#12079=CARTESIAN_POINT('Origin',(-5.39095833333333,2.5,2.13990277777778)); +#12080=CARTESIAN_POINT('',(-5.39095833333333,2.5,2.13990277777778)); +#12081=CARTESIAN_POINT('',(-5.39095833333333,2.5,1.07063684765985)); +#12082=CARTESIAN_POINT('',(-5.39095833333333,5.,2.13990277777778)); +#12083=CARTESIAN_POINT('',(-5.39095833333333,5.,2.13990277777778)); +#12084=CARTESIAN_POINT('',(-5.39095833333333,2.5,2.13990277777778)); +#12085=CARTESIAN_POINT('Origin',(-5.82452160385491,2.5,1.82557810057263)); +#12086=CARTESIAN_POINT('',(-5.39095848136478,2.5,1.51125321918064)); +#12087=CARTESIAN_POINT('Origin',(-5.82452160385491,2.5,1.82557810057263)); +#12088=CARTESIAN_POINT('',(-5.39095848136478,5.,1.51125321918064)); +#12089=CARTESIAN_POINT('Origin',(-5.82452160385491,5.,1.82557810057263)); +#12090=CARTESIAN_POINT('',(-5.39095848136478,2.5,1.51125321918064)); +#12091=CARTESIAN_POINT('Origin',(-5.39095848136478,2.5,-1.06296653747558)); +#12092=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.06296653747558)); +#12093=CARTESIAN_POINT('',(-5.39095848136478,2.5,-0.530797809966829)); +#12094=CARTESIAN_POINT('',(-5.39095848136478,5.,-1.06296653747558)); +#12095=CARTESIAN_POINT('',(-5.39095848136478,5.,-1.06296653747558)); +#12096=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.06296653747558)); +#12097=CARTESIAN_POINT('Origin',(-5.82397663275471,2.5,-1.37711517281002)); +#12098=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.69126380814446)); +#12099=CARTESIAN_POINT('Origin',(-5.82397663275471,2.5,-1.37711517281002)); +#12100=CARTESIAN_POINT('',(-5.39095848136478,5.,-1.69126380814446)); +#12101=CARTESIAN_POINT('Origin',(-5.82397663275471,5.,-1.37711517281002)); +#12102=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.69126380814446)); +#12103=CARTESIAN_POINT('Origin',(-5.39095848136478,2.5,-1.97525002691481)); +#12104=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.97525002691481)); +#12105=CARTESIAN_POINT('',(-5.39095848136478,2.5,-0.986939554686441)); +#12106=CARTESIAN_POINT('',(-5.39095848136478,5.,-1.97525002691481)); +#12107=CARTESIAN_POINT('',(-5.39095848136478,5.,-1.97525002691481)); +#12108=CARTESIAN_POINT('',(-5.39095848136478,2.5,-1.97525002691481)); +#12109=CARTESIAN_POINT('Origin',(-5.20010444230961,2.5,-1.98656688327358)); +#12110=CARTESIAN_POINT('Origin',(-5.20010444230961,2.5,-1.98656688327358)); +#12111=CARTESIAN_POINT('Origin',(-5.20010444230961,5.,-1.98656688327358)); +#12112=CARTESIAN_POINT('Origin',(-0.0915302971200782,5.,0.79687895397977)); +#12113=CARTESIAN_POINT('',(-1.95000009262003,5.,2.62673611111111)); +#12114=CARTESIAN_POINT('',(-1.95000009262003,5.,2.62673611111111)); +#12115=CARTESIAN_POINT('',(-1.73935555555555,5.,2.819)); +#12116=CARTESIAN_POINT('Origin',(-1.93098585880598,5.,2.81742723733027)); +#12117=CARTESIAN_POINT('',(-1.73935555555555,5.,3.56900555555556)); +#12118=CARTESIAN_POINT('',(-1.73935555555555,5.,3.56900555555556)); +#12119=CARTESIAN_POINT('',(-1.54673888888889,5.,3.7715)); +#12120=CARTESIAN_POINT('Origin',(-1.54905414194121,5.,3.58084472580315)); +#12121=CARTESIAN_POINT('',(1.36403055555556,5.,3.7715)); +#12122=CARTESIAN_POINT('',(1.36403055555556,5.,3.7715)); +#12123=CARTESIAN_POINT('',(1.557,5.,3.56900555555556)); +#12124=CARTESIAN_POINT('Origin',(1.35427047785903,5.,3.56900555555556)); +#12125=CARTESIAN_POINT('',(1.557,5.,2.819)); +#12126=CARTESIAN_POINT('',(1.557,5.,2.819)); +#12127=CARTESIAN_POINT('',(1.75949444444445,5.,2.62673611111111)); +#12128=CARTESIAN_POINT('Origin',(1.75949444444445,5.,2.82950274464832)); +#12129=CARTESIAN_POINT('',(2.89579166666667,5.,2.62673611111111)); +#12130=CARTESIAN_POINT('Origin',(-0.0915306365516078,2.5,0.00137091754192631)); +#12131=CARTESIAN_POINT('Origin',(-0.0915306365516078,2.5,0.00137091754192631)); +#12132=CARTESIAN_POINT('Origin',(1.557,2.,3.56900555555556)); +#12133=CARTESIAN_POINT('',(1.557,2.,2.819)); +#12134=CARTESIAN_POINT('',(1.557,2.,3.56900555555556)); +#12135=CARTESIAN_POINT('',(1.557,2.,2.819)); +#12136=CARTESIAN_POINT('',(1.557,2.,2.819)); +#12137=CARTESIAN_POINT('',(1.557,2.,3.56900555555556)); +#12138=CARTESIAN_POINT('Origin',(1.35427047785903,2.,3.56900555555556)); +#12139=CARTESIAN_POINT('',(1.36403055555556,2.,3.7715)); +#12140=CARTESIAN_POINT('Origin',(1.35427047785903,2.,3.56900555555556)); +#12141=CARTESIAN_POINT('',(1.36403055555556,2.,3.7715)); +#12142=CARTESIAN_POINT('Origin',(-1.54673888888889,2.,3.7715)); +#12143=CARTESIAN_POINT('',(-1.54673888888889,2.,3.7715)); +#12144=CARTESIAN_POINT('',(-1.54673888888889,2.,3.7715)); +#12145=CARTESIAN_POINT('',(-1.54673888888889,2.,3.7715)); +#12146=CARTESIAN_POINT('Origin',(-1.54905414194121,2.,3.58084472580315)); +#12147=CARTESIAN_POINT('',(-1.73935555555555,2.,3.56900555555556)); +#12148=CARTESIAN_POINT('Origin',(-1.54905414194121,2.,3.58084472580315)); +#12149=CARTESIAN_POINT('',(-1.73935555555555,2.,3.56900555555556)); +#12150=CARTESIAN_POINT('Origin',(-1.73935555555555,2.,2.819)); +#12151=CARTESIAN_POINT('',(-1.73935555555555,2.,2.819)); +#12152=CARTESIAN_POINT('',(-1.73935555555555,2.,2.819)); +#12153=CARTESIAN_POINT('',(-1.73935555555555,2.,2.819)); +#12154=CARTESIAN_POINT('Origin',(-1.93098585880598,2.,2.81742723733027)); +#12155=CARTESIAN_POINT('',(-1.95000009262003,2.,2.62673611111111)); +#12156=CARTESIAN_POINT('Origin',(-1.93098585880598,2.,2.81742723733027)); +#12157=CARTESIAN_POINT('',(-1.95000009262003,2.,2.62673611111111)); +#12158=CARTESIAN_POINT('Origin',(-2.60154444444444,2.,2.62673611111111)); +#12159=CARTESIAN_POINT('',(-2.60154444444444,2.,2.62673611111111)); +#12160=CARTESIAN_POINT('',(-2.60154444444444,2.,2.62673611111111)); +#12161=CARTESIAN_POINT('',(-2.60154444444444,2.5,2.62673611111111)); +#12162=CARTESIAN_POINT('',(-1.58483892938691,2.5,2.62673611111111)); +#12163=CARTESIAN_POINT('',(-2.60154444444444,2.,2.62673611111111)); +#12164=CARTESIAN_POINT('Origin',(-2.60154444444444,2.,3.23457222222222)); +#12165=CARTESIAN_POINT('',(-2.60154444444444,2.,3.23457222222222)); +#12166=CARTESIAN_POINT('',(-2.60154444444444,2.,2.62673611111111)); +#12167=CARTESIAN_POINT('',(-2.60154444444444,2.5,3.23457222222222)); +#12168=CARTESIAN_POINT('',(-2.60154444444444,2.5,2.62673611111111)); +#12169=CARTESIAN_POINT('',(-2.60154444444444,2.,3.23457222222222)); +#12170=CARTESIAN_POINT('Origin',(-2.77608881877518,2.,3.2374388857019)); +#12171=CARTESIAN_POINT('',(-2.73348333333333,2.,3.40672777777778)); +#12172=CARTESIAN_POINT('Origin',(-2.77608881877518,2.,3.2374388857019)); +#12173=CARTESIAN_POINT('',(-2.73348333333333,2.5,3.40672777777778)); +#12174=CARTESIAN_POINT('Origin',(-2.77608881877518,2.5,3.2374388857019)); +#12175=CARTESIAN_POINT('',(-2.73348333333333,2.,3.40672777777778)); +#12176=CARTESIAN_POINT('Origin',(-5.19798906114366,2.,3.40672726101346)); +#12177=CARTESIAN_POINT('',(-5.19798906114366,2.,3.40672726101346)); +#12178=CARTESIAN_POINT('',(-2.73348333333333,2.,3.40672777777778)); +#12179=CARTESIAN_POINT('',(-5.19798906114366,2.5,3.40672726101346)); +#12180=CARTESIAN_POINT('',(-2.73348333333333,2.5,3.40672777777778)); +#12181=CARTESIAN_POINT('',(-5.19798906114366,2.,3.40672726101346)); +#12182=CARTESIAN_POINT('Origin',(-5.19798885483727,2.,2.4228294343327)); +#12183=CARTESIAN_POINT('',(-6.18188611111111,2.,2.42388888888889)); +#12184=CARTESIAN_POINT('Origin',(-5.19798885483727,2.,2.4228294343327)); +#12185=CARTESIAN_POINT('',(-6.18188611111111,2.5,2.42388888888889)); +#12186=CARTESIAN_POINT('Origin',(-5.19798885483727,2.5,2.4228294343327)); +#12187=CARTESIAN_POINT('',(-6.18188611111111,2.,2.42388888888889)); +#12188=CARTESIAN_POINT('Origin',(-6.18223904768626,2.,-1.97525069978502)); +#12189=CARTESIAN_POINT('',(-6.18223904768626,2.,-1.97525069978502)); +#12190=CARTESIAN_POINT('',(-6.18188611111111,2.,2.42388888888889)); +#12191=CARTESIAN_POINT('',(-6.18223904768626,2.5,-1.97525069978502)); +#12192=CARTESIAN_POINT('',(-6.18188611111111,2.5,2.42388888888889)); +#12193=CARTESIAN_POINT('',(-6.18223904768626,2.,-1.97525069978502)); +#12194=CARTESIAN_POINT('Origin',(-5.18956391539638,2.,-1.97533034066805)); +#12195=CARTESIAN_POINT('',(-5.19834166666667,2.,-2.96796666666667)); +#12196=CARTESIAN_POINT('Origin',(-5.18956391539638,2.,-1.97533034066805)); +#12197=CARTESIAN_POINT('',(-5.19834166666667,2.5,-2.96796666666667)); +#12198=CARTESIAN_POINT('Origin',(-5.18956391539638,2.5,-1.97533034066805)); +#12199=CARTESIAN_POINT('',(-5.19834166666667,2.,-2.96796666666667)); +#12200=CARTESIAN_POINT('Origin',(-5.19834166666667,2.,-3.76877222222222)); +#12201=CARTESIAN_POINT('',(-5.19834166666667,2.,-3.76877222222222)); +#12202=CARTESIAN_POINT('',(-5.19834166666667,2.,-3.76877222222222)); +#12203=CARTESIAN_POINT('',(-5.19834166666667,2.5,-3.76877222222222)); +#12204=CARTESIAN_POINT('',(-5.19834166666667,2.5,-3.76877222222222)); +#12205=CARTESIAN_POINT('',(-5.19834166666667,2.,-3.76877222222222)); +#12206=CARTESIAN_POINT('Origin',(-4.69069444444444,2.,-3.76877222222222)); +#12207=CARTESIAN_POINT('',(-4.69069444444444,2.,-3.76877222222222)); +#12208=CARTESIAN_POINT('',(-5.19834166666667,2.,-3.76877222222222)); +#12209=CARTESIAN_POINT('',(-4.69069444444444,2.5,-3.76877222222222)); +#12210=CARTESIAN_POINT('',(-5.19834166666667,2.5,-3.76877222222222)); +#12211=CARTESIAN_POINT('',(-4.69069444444444,2.,-3.76877222222222)); +#12212=CARTESIAN_POINT('Origin',(-4.69069444444444,2.,-2.96796666666667)); +#12213=CARTESIAN_POINT('',(-4.69069444444444,2.,-2.96796666666667)); +#12214=CARTESIAN_POINT('',(-4.69069444444444,2.,-2.96796666666667)); +#12215=CARTESIAN_POINT('',(-4.69069444444444,2.5,-2.96796666666667)); +#12216=CARTESIAN_POINT('',(-4.69069444444444,2.5,-2.96796666666667)); +#12217=CARTESIAN_POINT('',(-4.69069444444444,2.,-2.96796666666667)); +#12218=CARTESIAN_POINT('Origin',(4.50798611111111,2.,-2.96796666666667)); +#12219=CARTESIAN_POINT('',(4.50798611111111,2.,-2.96796666666667)); +#12220=CARTESIAN_POINT('',(-4.69069444444444,2.,-2.96796666666667)); +#12221=CARTESIAN_POINT('',(4.50798611111111,2.5,-2.96796666666667)); +#12222=CARTESIAN_POINT('',(-4.69069444444444,2.5,-2.96796666666667)); +#12223=CARTESIAN_POINT('',(4.50798611111111,2.,-2.96796666666667)); +#12224=CARTESIAN_POINT('Origin',(4.50798611111111,2.,-3.76877222222222)); +#12225=CARTESIAN_POINT('',(4.50798611111111,2.,-3.76877222222222)); +#12226=CARTESIAN_POINT('',(4.50798611111111,2.,-2.96796666666667)); +#12227=CARTESIAN_POINT('',(4.50798611111111,2.5,-3.76877222222222)); +#12228=CARTESIAN_POINT('',(4.50798611111111,2.5,-2.96796666666667)); +#12229=CARTESIAN_POINT('',(4.50798611111111,2.,-3.76877222222222)); +#12230=CARTESIAN_POINT('Origin',(5.01528055555556,2.,-3.76877222222222)); +#12231=CARTESIAN_POINT('',(5.01528055555556,2.,-3.76877222222222)); +#12232=CARTESIAN_POINT('',(4.50798611111111,2.,-3.76877222222222)); +#12233=CARTESIAN_POINT('',(5.01528055555556,2.5,-3.76877222222222)); +#12234=CARTESIAN_POINT('',(4.50798611111111,2.5,-3.76877222222222)); +#12235=CARTESIAN_POINT('',(5.01528055555556,2.,-3.76877222222222)); +#12236=CARTESIAN_POINT('Origin',(5.01492787467109,2.,-2.96761381891039)); +#12237=CARTESIAN_POINT('',(5.01492787467109,2.,-2.96761381891039)); +#12238=CARTESIAN_POINT('',(5.01528055555556,2.,-3.76877222222222)); +#12239=CARTESIAN_POINT('',(5.01492787467109,2.5,-2.96761381891039)); +#12240=CARTESIAN_POINT('',(5.01528055555556,2.5,-3.76877222222222)); +#12241=CARTESIAN_POINT('',(5.01492787467109,2.,-2.96761381891039)); +#12242=CARTESIAN_POINT('Origin',(5.00642476508389,2.,-1.97489722222222)); +#12243=CARTESIAN_POINT('',(5.99917777777778,2.,-1.97489722222222)); +#12244=CARTESIAN_POINT('Origin',(5.00642476508389,2.,-1.97489722222222)); +#12245=CARTESIAN_POINT('',(5.99917777777778,2.5,-1.97489722222222)); +#12246=CARTESIAN_POINT('Origin',(5.00642476508389,2.5,-1.97489722222222)); +#12247=CARTESIAN_POINT('',(5.99917777777778,2.,-1.97489722222222)); +#12248=CARTESIAN_POINT('Origin',(5.99917777777778,2.,2.42388888888889)); +#12249=CARTESIAN_POINT('',(5.99917777777778,2.,2.42388888888889)); +#12250=CARTESIAN_POINT('',(5.99917777777778,2.,-1.97489722222222)); +#12251=CARTESIAN_POINT('',(5.99917777777778,2.5,2.42388888888889)); +#12252=CARTESIAN_POINT('',(5.99917777777778,2.5,-1.97489722222222)); +#12253=CARTESIAN_POINT('',(5.99917777777778,2.,2.42388888888889)); +#12254=CARTESIAN_POINT('Origin',(5.01528055555556,2.,2.42282998574221)); +#12255=CARTESIAN_POINT('',(5.01528055555556,2.,3.40672777777778)); +#12256=CARTESIAN_POINT('Origin',(5.01528055555556,2.,2.42282998574221)); +#12257=CARTESIAN_POINT('',(5.01528055555556,2.5,3.40672777777778)); +#12258=CARTESIAN_POINT('Origin',(5.01528055555556,2.5,2.42282998574221)); +#12259=CARTESIAN_POINT('',(5.01528055555556,2.,3.40672777777778)); +#12260=CARTESIAN_POINT('Origin',(2.59099166666667,2.,3.40672777777778)); +#12261=CARTESIAN_POINT('',(2.59099166666667,2.,3.40672777777778)); +#12262=CARTESIAN_POINT('',(5.01528055555556,2.,3.40672777777778)); +#12263=CARTESIAN_POINT('',(2.59099166666667,2.5,3.40672777777778)); +#12264=CARTESIAN_POINT('',(5.01528055555556,2.5,3.40672777777778)); +#12265=CARTESIAN_POINT('',(2.59099166666667,2.,3.40672777777778)); +#12266=CARTESIAN_POINT('Origin',(2.59025364151465,2.,3.23531024737425)); +#12267=CARTESIAN_POINT('',(2.41883611111111,2.,3.23457222222222)); +#12268=CARTESIAN_POINT('Origin',(2.59025364151465,2.,3.23531024737425)); +#12269=CARTESIAN_POINT('',(2.41883611111111,2.5,3.23457222222222)); +#12270=CARTESIAN_POINT('Origin',(2.59025364151465,2.5,3.23531024737425)); +#12271=CARTESIAN_POINT('',(2.41883611111111,2.,3.23457222222222)); +#12272=CARTESIAN_POINT('Origin',(2.41883611111111,2.,2.62673611111111)); +#12273=CARTESIAN_POINT('',(2.41883611111111,2.,2.62673611111111)); +#12274=CARTESIAN_POINT('',(2.41883611111111,2.,3.23457222222222)); +#12275=CARTESIAN_POINT('',(2.41883611111111,2.5,2.62673611111111)); +#12276=CARTESIAN_POINT('',(2.41883611111111,2.5,3.23457222222222)); +#12277=CARTESIAN_POINT('',(2.41883611111111,2.,2.62673611111111)); +#12278=CARTESIAN_POINT('Origin',(1.75949444444445,2.,2.62673611111111)); +#12279=CARTESIAN_POINT('',(1.75949444444445,2.,2.62673611111111)); +#12280=CARTESIAN_POINT('',(1.75949444444445,2.,2.62673611111111)); +#12281=CARTESIAN_POINT('',(0.83398190394642,2.5,2.62673611111111)); +#12282=CARTESIAN_POINT('',(1.75949444444445,2.,2.62673611111111)); +#12283=CARTESIAN_POINT('Origin',(1.75949444444445,2.,2.82950274464832)); +#12284=CARTESIAN_POINT('Origin',(1.75949444444445,2.,2.82950274464832)); +#12285=CARTESIAN_POINT('Origin',(-0.0915306365516078,2.5,0.00137091754192631)); +#12286=CARTESIAN_POINT('Origin',(-0.0915306365516078,2.,0.00137091754192631)); +#12287=CARTESIAN_POINT('Origin',(-5.39135416666666,-5.8,4.07413822679398)); +#12288=CARTESIAN_POINT('',(-5.59135416666666,-5.6,3.92413822679398)); +#12289=CARTESIAN_POINT('',(-5.19135416666666,-6.,3.92413822679398)); +#12290=CARTESIAN_POINT('',(-6.14135416666666,-5.05,3.92413822679398)); +#12291=CARTESIAN_POINT('',(-5.19135416666666,-6.,4.12413822679398)); +#12292=CARTESIAN_POINT('',(-5.19135416666666,-6.,4.07413822679398)); +#12293=CARTESIAN_POINT('',(-5.59135416666666,-5.6,4.12413822679398)); +#12294=CARTESIAN_POINT('',(-5.89135416666666,-5.3,4.12413822679398)); +#12295=CARTESIAN_POINT('',(-5.59135416666666,-5.6,4.07413822679398)); +#12296=CARTESIAN_POINT('Origin',(-5.09135416666666,-6.,4.02413822679398)); +#12297=CARTESIAN_POINT('',(-4.99135416666666,-6.,3.92413822679398)); +#12298=CARTESIAN_POINT('',(-4.59135416666666,-6.,3.92413822679398)); +#12299=CARTESIAN_POINT('',(-4.99135416666666,-6.,4.12413822679398)); +#12300=CARTESIAN_POINT('',(-4.99135416666666,-6.,3.97413822679398)); +#12301=CARTESIAN_POINT('',(-5.59135416666666,-6.,4.12413822679398)); +#12302=CARTESIAN_POINT('Origin',(-5.59135416666666,-3.,3.92413822679398)); +#12303=CARTESIAN_POINT('',(-5.59135416666666,-3.,3.92413822679398)); +#12304=CARTESIAN_POINT('',(-5.59135416666666,-3.,3.92413822679398)); +#12305=CARTESIAN_POINT('',(-4.59135416666666,-3.,3.92413822679398)); +#12306=CARTESIAN_POINT('',(-2.84144205619218,-3.,3.92413822679398)); +#12307=CARTESIAN_POINT('',(-4.59135416666666,-5.6,3.92413822679398)); +#12308=CARTESIAN_POINT('',(-4.59135416666666,-3.,3.92413822679398)); +#12309=CARTESIAN_POINT('',(-4.29135416666666,-5.3,3.92413822679398)); +#12310=CARTESIAN_POINT('Origin',(-4.79135416666666,-5.8,3.97413822679398)); +#12311=CARTESIAN_POINT('',(-4.59135416666666,-5.6,4.12413822679398)); +#12312=CARTESIAN_POINT('',(-4.04135416666666,-5.05,4.12413822679398)); +#12313=CARTESIAN_POINT('',(-4.59135416666666,-5.6,3.97413822679398)); +#12314=CARTESIAN_POINT('Origin',(-4.59135416666666,-3.,4.12413822679398)); +#12315=CARTESIAN_POINT('',(-4.59135416666666,-3.,4.12413822679398)); +#12316=CARTESIAN_POINT('',(-4.59135416666666,-3.,4.12413822679398)); +#12317=CARTESIAN_POINT('',(-5.59135416666666,-3.,4.12413822679398)); +#12318=CARTESIAN_POINT('',(-2.34144205619218,-3.,4.12413822679398)); +#12319=CARTESIAN_POINT('',(-5.59135416666666,-3.,4.12413822679398)); +#12320=CARTESIAN_POINT('Origin',(-4.59135416666666,-3.,3.92413822679398)); +#12321=CARTESIAN_POINT('',(-4.59135416666666,-3.,2.07167118443192)); +#12322=CARTESIAN_POINT('Origin',(-0.391354166666665,-5.8,5.37342462108339)); +#12323=CARTESIAN_POINT('',(-0.591354166666665,-5.6,5.22342462108339)); +#12324=CARTESIAN_POINT('',(-0.191354166666665,-6.,5.22342462108339)); +#12325=CARTESIAN_POINT('',(-1.14135416666667,-5.05,5.22342462108339)); +#12326=CARTESIAN_POINT('',(-0.191354166666665,-6.,5.42342462108339)); +#12327=CARTESIAN_POINT('',(-0.191354166666665,-6.,5.37342462108339)); +#12328=CARTESIAN_POINT('',(-0.591354166666665,-5.6,5.42342462108339)); +#12329=CARTESIAN_POINT('',(-0.891354166666665,-5.3,5.42342462108339)); +#12330=CARTESIAN_POINT('',(-0.591354166666665,-5.6,5.37342462108339)); +#12331=CARTESIAN_POINT('Origin',(-0.0913541666666651,-6.,5.32342462108339)); +#12332=CARTESIAN_POINT('',(0.00864583333333488,-6.,5.22342462108339)); +#12333=CARTESIAN_POINT('',(0.408645833333335,-6.,5.22342462108339)); +#12334=CARTESIAN_POINT('',(0.00864583333333488,-6.,5.42342462108339)); +#12335=CARTESIAN_POINT('',(0.00864583333333488,-6.,5.27342462108339)); +#12336=CARTESIAN_POINT('',(-0.591354166666665,-6.,5.42342462108339)); +#12337=CARTESIAN_POINT('Origin',(-0.591354166666665,-3.,5.22342462108339)); +#12338=CARTESIAN_POINT('',(-0.591354166666665,-3.,5.22342462108339)); +#12339=CARTESIAN_POINT('',(-0.591354166666665,-3.,5.22342462108339)); +#12340=CARTESIAN_POINT('',(0.408645833333335,-3.,5.22342462108339)); +#12341=CARTESIAN_POINT('',(-0.34144205619218,-3.,5.22342462108339)); +#12342=CARTESIAN_POINT('',(0.408645833333335,-5.6,5.22342462108339)); +#12343=CARTESIAN_POINT('',(0.408645833333335,-3.,5.22342462108339)); +#12344=CARTESIAN_POINT('',(0.708645833333335,-5.3,5.22342462108339)); +#12345=CARTESIAN_POINT('Origin',(0.208645833333335,-5.8,5.27342462108339)); +#12346=CARTESIAN_POINT('',(0.408645833333335,-5.6,5.42342462108339)); +#12347=CARTESIAN_POINT('',(0.958645833333335,-5.05,5.42342462108339)); +#12348=CARTESIAN_POINT('',(0.408645833333335,-5.6,5.27342462108339)); +#12349=CARTESIAN_POINT('Origin',(0.408645833333335,-3.,5.42342462108339)); +#12350=CARTESIAN_POINT('',(0.408645833333335,-3.,5.42342462108339)); +#12351=CARTESIAN_POINT('',(0.408645833333335,-3.,5.42342462108339)); +#12352=CARTESIAN_POINT('',(-0.591354166666665,-3.,5.42342462108339)); +#12353=CARTESIAN_POINT('',(0.15855794380782,-3.,5.42342462108339)); +#12354=CARTESIAN_POINT('',(-0.591354166666665,-3.,5.42342462108339)); +#12355=CARTESIAN_POINT('Origin',(0.408645833333335,-3.,5.22342462108339)); +#12356=CARTESIAN_POINT('',(0.408645833333335,-3.,2.72131438157663)); +#12357=CARTESIAN_POINT('Origin',(-0.102942442379654,-5.75,0.223424621083388)); +#12358=CARTESIAN_POINT('',(-1.30294244237965,-6.,0.223424621083388)); +#12359=CARTESIAN_POINT('Origin',(-0.102942442379654,-6.,0.223424621083388)); +#12360=CARTESIAN_POINT('',(-1.80294244237965,-5.5,0.223424621083388)); +#12361=CARTESIAN_POINT('',(-1.55294244237965,-5.75,0.223424621083388)); +#12362=CARTESIAN_POINT('Origin',(-0.102942442379654,-5.5,0.223424621083388)); +#12363=CARTESIAN_POINT('Origin',(-0.102942442379654,-3.,0.223424621083388)); +#12364=CARTESIAN_POINT('',(-1.80294244237965,-3.,0.223424621083388)); +#12365=CARTESIAN_POINT('',(-1.80294244237965,-3.,0.223424621083388)); +#12366=CARTESIAN_POINT('Origin',(-0.102942442379654,-3.,0.223424621083388)); +#12367=CARTESIAN_POINT('Origin',(-0.102942442379654,-6.,0.223424621083388)); +#12368=CARTESIAN_POINT('Origin',(-0.591354166666665,-3.,5.42342462108339)); +#12369=CARTESIAN_POINT('',(-0.591354166666665,-3.,2.82131438157663)); +#12370=CARTESIAN_POINT('Origin',(-5.60294244237966,-3.,0.223424621083388)); +#12371=CARTESIAN_POINT('',(-6.55294244237965,-6.,0.223424621083388)); +#12372=CARTESIAN_POINT('Origin',(-5.60294244237966,-6.,0.223424621083388)); +#12373=CARTESIAN_POINT('',(-6.55294244237965,-3.,0.223424621083388)); +#12374=CARTESIAN_POINT('',(-6.55294244237965,-3.,0.223424621083388)); +#12375=CARTESIAN_POINT('Origin',(-5.60294244237966,-3.,0.223424621083388)); +#12376=CARTESIAN_POINT('Origin',(-5.60294244237966,-6.,0.223424621083388)); +#12377=CARTESIAN_POINT('Origin',(-5.59135416666666,-3.,4.12413822679398)); +#12378=CARTESIAN_POINT('',(-5.59135416666666,-3.,2.17167118443192)); +#12379=CARTESIAN_POINT('Origin',(5.38794260241429,-3.,0.223424621083388)); +#12380=CARTESIAN_POINT('',(4.43794260241429,-6.,0.223424621083388)); +#12381=CARTESIAN_POINT('Origin',(5.38794260241429,-6.,0.223424621083388)); +#12382=CARTESIAN_POINT('',(4.43794260241429,-3.,0.223424621083388)); +#12383=CARTESIAN_POINT('',(4.43794260241429,-3.,0.223424621083388)); +#12384=CARTESIAN_POINT('Origin',(5.38794260241429,-3.,0.223424621083388)); +#12385=CARTESIAN_POINT('Origin',(5.38794260241429,-6.,0.223424621083388)); +#12386=CARTESIAN_POINT('Origin',(-3.15928611111111,-0.8,-2.14705277777778)); +#12387=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#12388=CARTESIAN_POINT('',(-3.07814722222222,-0.8,-2.17774444444444)); +#12389=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#12390=CARTESIAN_POINT('',(-3.07814722222222,-3.,-2.17774444444444)); +#12391=CARTESIAN_POINT('',(-3.07814722222222,-0.8,-2.17774444444444)); +#12392=CARTESIAN_POINT('',(-3.15928611111111,-3.,-2.14705277777778)); +#12393=CARTESIAN_POINT('',(-3.15928611111111,-3.,-2.14705277777778)); +#12394=CARTESIAN_POINT('',(-3.15928611111111,-0.8,-2.14705277777778)); +#12395=CARTESIAN_POINT('Origin',(-3.0785,-0.8,-2.17774444444444)); +#12396=CARTESIAN_POINT('',(-3.0785,-0.8,-2.17774444444444)); +#12397=CARTESIAN_POINT('',(-3.0785,-0.8,-2.17774444444444)); +#12398=CARTESIAN_POINT('',(-3.0785,-3.,-2.17774444444444)); +#12399=CARTESIAN_POINT('',(-3.0785,-3.,-2.17774444444444)); +#12400=CARTESIAN_POINT('',(-3.0785,-0.8,-2.17774444444444)); +#12401=CARTESIAN_POINT('Origin',(-3.07814722222222,-0.8,-2.17774444444444)); +#12402=CARTESIAN_POINT('',(-3.07814722222222,-0.8,-2.17774444444444)); +#12403=CARTESIAN_POINT('',(-3.07814722222222,-3.,-2.17774444444444)); +#12404=CARTESIAN_POINT('Origin',(5.2078973991821,-0.8,1.51125217957968)); +#12405=CARTESIAN_POINT('',(5.2078973991821,-0.8,1.51125217957968)); +#12406=CARTESIAN_POINT('',(5.20770242029022,-0.8,1.51238818086132)); +#12407=CARTESIAN_POINT('',(5.2078973991821,-0.8,1.51125217957968)); +#12408=CARTESIAN_POINT('',(5.20770242029022,-3.,1.51238818086132)); +#12409=CARTESIAN_POINT('',(5.20770242029022,-0.8,1.51238818086132)); +#12410=CARTESIAN_POINT('',(5.2078973991821,-3.,1.51125217957968)); +#12411=CARTESIAN_POINT('',(5.2078973991821,-3.,1.51125217957968)); +#12412=CARTESIAN_POINT('',(5.2078973991821,-0.8,1.51125217957968)); +#12413=CARTESIAN_POINT('Origin',(5.20789722222223,-0.8,1.51160555555555)); +#12414=CARTESIAN_POINT('',(5.20789722222223,-0.8,1.51160555555555)); +#12415=CARTESIAN_POINT('',(5.20789722222223,-0.8,1.51160555555555)); +#12416=CARTESIAN_POINT('',(5.20789722222223,-3.,1.51160555555555)); +#12417=CARTESIAN_POINT('',(5.20789722222223,-3.,1.51160555555555)); +#12418=CARTESIAN_POINT('',(5.20789722222223,-0.8,1.51160555555555)); +#12419=CARTESIAN_POINT('Origin',(5.20770242029022,-0.8,1.51238818086132)); +#12420=CARTESIAN_POINT('',(5.20770242029022,-0.8,1.51238818086132)); +#12421=CARTESIAN_POINT('',(5.20770242029022,-3.,1.51238818086132)); +#12422=CARTESIAN_POINT('Origin',(6.59527316913354,-0.8,-5.42083059412091)); +#12423=CARTESIAN_POINT('',(6.59527316913354,-0.8,-5.42083059412091)); +#12424=CARTESIAN_POINT('',(6.59527472067924,-0.8,5.92943448499606)); +#12425=CARTESIAN_POINT('',(6.59527316913354,-0.8,-5.42083059412091)); +#12426=CARTESIAN_POINT('',(6.59527472067924,-3.,5.92943448499606)); +#12427=CARTESIAN_POINT('',(6.59527472067924,-0.8,5.92943448499606)); +#12428=CARTESIAN_POINT('',(6.59527316913354,-3.,-5.42083059412091)); +#12429=CARTESIAN_POINT('',(6.59527316913354,-3.,-5.42083059412091)); +#12430=CARTESIAN_POINT('',(6.59527316913354,-0.8,-5.42083059412091)); +#12431=CARTESIAN_POINT('Origin',(5.55320591725475,-0.8,-5.42083045167358)); +#12432=CARTESIAN_POINT('',(5.56443475635697,-0.8,-6.46283720338427)); +#12433=CARTESIAN_POINT('Origin',(5.55320591725475,-0.8,-5.42083045167358)); +#12434=CARTESIAN_POINT('',(5.56443475635697,-3.,-6.46283720338427)); +#12435=CARTESIAN_POINT('Origin',(5.55320591725475,-3.,-5.42083045167358)); +#12436=CARTESIAN_POINT('',(5.56443475635697,-0.8,-6.46283720338427)); +#12437=CARTESIAN_POINT('Origin',(-5.74707338123597,-0.8,-6.46318988499381)); +#12438=CARTESIAN_POINT('',(-5.74707338123597,-0.8,-6.46318988499381)); +#12439=CARTESIAN_POINT('',(-5.74707338123597,-0.8,-6.46318988499381)); +#12440=CARTESIAN_POINT('',(-5.74707338123597,-3.,-6.46318988499381)); +#12441=CARTESIAN_POINT('',(-5.74707338123597,-3.,-6.46318988499381)); +#12442=CARTESIAN_POINT('',(-5.74707338123597,-0.8,-6.46318988499381)); +#12443=CARTESIAN_POINT('Origin',(-5.73627158532152,-0.8,-5.42118323263576)); +#12444=CARTESIAN_POINT('',(-6.77833422372356,-0.8,-5.42118323785233)); +#12445=CARTESIAN_POINT('Origin',(-5.73627158532152,-0.8,-5.42118323263576)); +#12446=CARTESIAN_POINT('',(-6.77833422372356,-3.,-5.42118323785233)); +#12447=CARTESIAN_POINT('Origin',(-5.73627158532152,-3.,-5.42118323263576)); +#12448=CARTESIAN_POINT('',(-6.77833422372356,-0.8,-5.42118323785233)); +#12449=CARTESIAN_POINT('Origin',(-6.77833450148773,-0.8,5.86828552087945)); +#12450=CARTESIAN_POINT('',(-6.77833450148773,-0.8,5.86828552087945)); +#12451=CARTESIAN_POINT('',(-6.77833450148773,-0.8,5.86828552087945)); +#12452=CARTESIAN_POINT('',(-6.77833450148773,-3.,5.86828552087945)); +#12453=CARTESIAN_POINT('',(-6.77833450148773,-3.,5.86828552087945)); +#12454=CARTESIAN_POINT('',(-6.77833450148773,-0.8,5.86828552087945)); +#12455=CARTESIAN_POINT('Origin',(-5.7455,-0.8,5.86876355701892)); +#12456=CARTESIAN_POINT('',(-5.7455,-0.8,6.90159816913354)); +#12457=CARTESIAN_POINT('Origin',(-5.7455,-0.8,5.86876355701892)); +#12458=CARTESIAN_POINT('',(-5.7455,-3.,6.90159816913354)); +#12459=CARTESIAN_POINT('Origin',(-5.7455,-3.,5.86876355701892)); +#12460=CARTESIAN_POINT('',(-5.7455,-0.8,6.90159816913354)); +#12461=CARTESIAN_POINT('Origin',(5.56279166666667,-0.8,6.90159816913354)); +#12462=CARTESIAN_POINT('',(5.56279166666667,-0.8,6.90159816913354)); +#12463=CARTESIAN_POINT('',(5.56279166666667,-0.8,6.90159816913354)); +#12464=CARTESIAN_POINT('',(5.56279166666667,-3.,6.90159816913354)); +#12465=CARTESIAN_POINT('',(5.56279166666667,-3.,6.90159816913354)); +#12466=CARTESIAN_POINT('',(5.56279166666667,-0.8,6.90159816913354)); +#12467=CARTESIAN_POINT('Origin',(5.56279166666667,-0.8,5.86724381173787)); +#12468=CARTESIAN_POINT('Origin',(5.56279166666667,-0.8,5.86724381173787)); +#12469=CARTESIAN_POINT('Origin',(5.56279166666667,-3.,5.86724381173787)); +#12470=CARTESIAN_POINT('Origin',(-0.0915299457176943,-3.,0.219204142069865)); +#12471=CARTESIAN_POINT('Origin',(-0.0915299457176943,-0.8,0.219204142069865)); +#12472=CARTESIAN_POINT('',(0.,0.,0.)); +#12473=CARTESIAN_POINT('Origin',(-3.49999999999999,-1.83,-1.50000002235174)); +#12474=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-0.499999977648258)); +#12475=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-1.50000002235174)); +#12476=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-0.499999977648258)); +#12477=CARTESIAN_POINT('',(-3.49999999999999,2.4,-0.499999977648258)); +#12478=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-0.499999977648258)); +#12479=CARTESIAN_POINT('',(-3.49999999999999,2.4,-1.50000002235174)); +#12480=CARTESIAN_POINT('',(-3.49999999999999,2.4,-1.05000001117587)); +#12481=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-1.50000002235174)); +#12482=CARTESIAN_POINT('Origin',(-2.19999999999999,-1.83,-1.50000002235174)); +#12483=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-1.50000002235174)); +#12484=CARTESIAN_POINT('',(-3.49999999999999,-1.83,-1.50000002235174)); +#12485=CARTESIAN_POINT('',(-2.19999999999999,2.4,-1.50000002235174)); +#12486=CARTESIAN_POINT('',(-1.09999999999999,2.4,-1.50000002235174)); +#12487=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-1.50000002235174)); +#12488=CARTESIAN_POINT('Origin',(-2.19999999999999,-1.83,-0.499999977648258)); +#12489=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-0.499999977648258)); +#12490=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-1.50000002235174)); +#12491=CARTESIAN_POINT('',(-2.19999999999999,2.4,-0.499999977648258)); +#12492=CARTESIAN_POINT('',(-2.19999999999999,2.4,-0.54999998882413)); +#12493=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-0.499999977648258)); +#12494=CARTESIAN_POINT('Origin',(-2.41999999999999,-1.83,-0.499999977648258)); +#12495=CARTESIAN_POINT('',(-2.41999999999999,-1.83,-0.499999977648258)); +#12496=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-0.499999977648258)); +#12497=CARTESIAN_POINT('',(-2.41999999999999,2.4,-0.499999977648258)); +#12498=CARTESIAN_POINT('',(-1.20999999999999,2.4,-0.499999977648258)); +#12499=CARTESIAN_POINT('',(-2.41999999999999,-1.83,-0.499999977648258)); +#12500=CARTESIAN_POINT('Origin',(-2.41999999999999,-1.83,0.5)); +#12501=CARTESIAN_POINT('',(-2.41999999999999,-1.83,0.5)); +#12502=CARTESIAN_POINT('',(-2.41999999999999,-1.83,1.)); +#12503=CARTESIAN_POINT('',(-2.41999999999999,2.4,0.5)); +#12504=CARTESIAN_POINT('',(-2.41999999999999,2.4,-0.0500000000000005)); +#12505=CARTESIAN_POINT('',(-2.41999999999999,-1.83,0.5)); +#12506=CARTESIAN_POINT('Origin',(-2.19999999999999,-1.83,0.5)); +#12507=CARTESIAN_POINT('',(-2.19999999999999,-1.83,0.5)); +#12508=CARTESIAN_POINT('',(-2.19999999999999,-1.83,0.5)); +#12509=CARTESIAN_POINT('',(-2.19999999999999,2.4,0.5)); +#12510=CARTESIAN_POINT('',(-1.09999999999999,2.4,0.5)); +#12511=CARTESIAN_POINT('',(-2.19999999999999,-1.83,0.5)); +#12512=CARTESIAN_POINT('Origin',(-2.19999999999999,-1.83,1.5)); +#12513=CARTESIAN_POINT('',(-2.19999999999999,-1.83,1.5)); +#12514=CARTESIAN_POINT('',(-2.19999999999999,-1.83,1.5)); +#12515=CARTESIAN_POINT('',(-2.19999999999999,2.4,1.5)); +#12516=CARTESIAN_POINT('',(-2.19999999999999,2.4,0.45)); +#12517=CARTESIAN_POINT('',(-2.19999999999999,-1.83,1.5)); +#12518=CARTESIAN_POINT('Origin',(-3.49999999999999,-1.83,1.5)); +#12519=CARTESIAN_POINT('',(-3.49999999999999,-1.83,1.5)); +#12520=CARTESIAN_POINT('',(-3.49999999999999,-1.83,1.5)); +#12521=CARTESIAN_POINT('',(-3.49999999999999,2.4,1.5)); +#12522=CARTESIAN_POINT('',(-1.74999999999999,2.4,1.5)); +#12523=CARTESIAN_POINT('',(-3.49999999999999,-1.83,1.5)); +#12524=CARTESIAN_POINT('Origin',(-3.49999999999999,-1.83,0.5)); +#12525=CARTESIAN_POINT('',(-3.49999999999999,-1.83,0.5)); +#12526=CARTESIAN_POINT('',(-3.49999999999999,-1.83,0.5)); +#12527=CARTESIAN_POINT('',(-3.49999999999999,2.4,0.5)); +#12528=CARTESIAN_POINT('',(-3.49999999999999,2.4,-0.0500000000000005)); +#12529=CARTESIAN_POINT('',(-3.49999999999999,-1.83,0.5)); +#12530=CARTESIAN_POINT('Origin',(-3.27999999999999,-1.83,0.5)); +#12531=CARTESIAN_POINT('',(-3.27999999999999,-1.83,0.5)); +#12532=CARTESIAN_POINT('',(-2.19999999999999,-1.83,0.5)); +#12533=CARTESIAN_POINT('',(-3.27999999999999,2.4,0.5)); +#12534=CARTESIAN_POINT('',(-1.63999999999999,2.4,0.5)); +#12535=CARTESIAN_POINT('',(-3.27999999999999,-1.83,0.5)); +#12536=CARTESIAN_POINT('Origin',(-3.27999999999999,-1.83,-0.499999977648258)); +#12537=CARTESIAN_POINT('',(-3.27999999999999,-1.83,-0.499999977648258)); +#12538=CARTESIAN_POINT('',(-3.27999999999999,-1.83,-1.)); +#12539=CARTESIAN_POINT('',(-3.27999999999999,2.4,-0.499999977648258)); +#12540=CARTESIAN_POINT('',(-3.27999999999999,2.4,-0.54999998882413)); +#12541=CARTESIAN_POINT('',(-3.27999999999999,-1.83,-0.499999977648258)); +#12542=CARTESIAN_POINT('Origin',(-3.49999999999999,-1.83,-0.499999977648258)); +#12543=CARTESIAN_POINT('',(-2.19999999999999,-1.83,-0.499999977648258)); +#12544=CARTESIAN_POINT('',(-1.74999999999999,2.4,-0.499999977648258)); +#12545=CARTESIAN_POINT('Origin',(-2.84999999999999,-1.83,-1.11758707843634E-8)); +#12546=CARTESIAN_POINT('Origin',(3.50000000000001,-1.83,0.5)); +#12547=CARTESIAN_POINT('',(3.28000000000001,-1.83,0.5)); +#12548=CARTESIAN_POINT('',(3.50000000000001,-1.83,0.5)); +#12549=CARTESIAN_POINT('',(2.20000000000001,-1.83,0.5)); +#12550=CARTESIAN_POINT('',(3.28000000000001,2.4,0.5)); +#12551=CARTESIAN_POINT('',(3.28000000000001,-1.83,0.5)); +#12552=CARTESIAN_POINT('',(3.50000000000001,2.4,0.5)); +#12553=CARTESIAN_POINT('',(1.75000000000001,2.4,0.5)); +#12554=CARTESIAN_POINT('',(3.50000000000001,-1.83,0.5)); +#12555=CARTESIAN_POINT('Origin',(3.50000000000001,-1.83,1.5)); +#12556=CARTESIAN_POINT('',(3.50000000000001,-1.83,1.5)); +#12557=CARTESIAN_POINT('',(3.50000000000001,-1.83,0.5)); +#12558=CARTESIAN_POINT('',(3.50000000000001,2.4,1.5)); +#12559=CARTESIAN_POINT('',(3.50000000000001,2.4,0.45)); +#12560=CARTESIAN_POINT('',(3.50000000000001,-1.83,1.5)); +#12561=CARTESIAN_POINT('Origin',(2.20000000000001,-1.83,1.5)); +#12562=CARTESIAN_POINT('',(2.20000000000001,-1.83,1.5)); +#12563=CARTESIAN_POINT('',(3.50000000000001,-1.83,1.5)); +#12564=CARTESIAN_POINT('',(2.20000000000001,2.4,1.5)); +#12565=CARTESIAN_POINT('',(1.10000000000001,2.4,1.5)); +#12566=CARTESIAN_POINT('',(2.20000000000001,-1.83,1.5)); +#12567=CARTESIAN_POINT('Origin',(2.20000000000001,-1.83,0.5)); +#12568=CARTESIAN_POINT('',(2.20000000000001,-1.83,0.5)); +#12569=CARTESIAN_POINT('',(2.20000000000001,-1.83,1.5)); +#12570=CARTESIAN_POINT('',(2.20000000000001,2.4,0.5)); +#12571=CARTESIAN_POINT('',(2.20000000000001,2.4,-0.0500000000000003)); +#12572=CARTESIAN_POINT('',(2.20000000000001,-1.83,0.5)); +#12573=CARTESIAN_POINT('Origin',(2.42000000000001,-1.83,0.5)); +#12574=CARTESIAN_POINT('',(2.42000000000001,-1.83,0.5)); +#12575=CARTESIAN_POINT('',(2.20000000000001,-1.83,0.5)); +#12576=CARTESIAN_POINT('',(2.42000000000001,2.4,0.5)); +#12577=CARTESIAN_POINT('',(1.21000000000001,2.4,0.5)); +#12578=CARTESIAN_POINT('',(2.42000000000001,-1.83,0.5)); +#12579=CARTESIAN_POINT('Origin',(2.42000000000001,-1.83,-0.499999977648258)); +#12580=CARTESIAN_POINT('',(2.42000000000001,-1.83,-0.499999977648258)); +#12581=CARTESIAN_POINT('',(2.42000000000001,-1.83,1.)); +#12582=CARTESIAN_POINT('',(2.42000000000001,2.4,-0.499999977648258)); +#12583=CARTESIAN_POINT('',(2.42000000000001,2.4,-0.549999988824129)); +#12584=CARTESIAN_POINT('',(2.42000000000001,-1.83,-0.499999977648258)); +#12585=CARTESIAN_POINT('Origin',(2.20000000000001,-1.83,-0.499999977648258)); +#12586=CARTESIAN_POINT('',(2.20000000000001,-1.83,-0.499999977648258)); +#12587=CARTESIAN_POINT('',(2.20000000000001,-1.83,-0.499999977648258)); +#12588=CARTESIAN_POINT('',(2.20000000000001,2.4,-0.499999977648258)); +#12589=CARTESIAN_POINT('',(1.10000000000001,2.4,-0.499999977648258)); +#12590=CARTESIAN_POINT('',(2.20000000000001,-1.83,-0.499999977648258)); +#12591=CARTESIAN_POINT('Origin',(2.20000000000001,-1.83,-1.50000002235174)); +#12592=CARTESIAN_POINT('',(2.20000000000001,-1.83,-1.50000002235174)); +#12593=CARTESIAN_POINT('',(2.20000000000001,-1.83,-1.50000002235174)); +#12594=CARTESIAN_POINT('',(2.20000000000001,2.4,-1.50000002235174)); +#12595=CARTESIAN_POINT('',(2.20000000000001,2.4,-1.05000001117587)); +#12596=CARTESIAN_POINT('',(2.20000000000001,-1.83,-1.50000002235174)); +#12597=CARTESIAN_POINT('Origin',(3.50000000000001,-1.83,-1.50000002235174)); +#12598=CARTESIAN_POINT('',(3.50000000000001,-1.83,-1.50000002235174)); +#12599=CARTESIAN_POINT('',(3.50000000000001,-1.83,-1.50000002235174)); +#12600=CARTESIAN_POINT('',(3.50000000000001,2.4,-1.50000002235174)); +#12601=CARTESIAN_POINT('',(1.75000000000001,2.4,-1.50000002235174)); +#12602=CARTESIAN_POINT('',(3.50000000000001,-1.83,-1.50000002235174)); +#12603=CARTESIAN_POINT('Origin',(3.50000000000001,-1.83,-0.499999977648258)); +#12604=CARTESIAN_POINT('',(3.50000000000001,-1.83,-0.499999977648258)); +#12605=CARTESIAN_POINT('',(3.50000000000001,-1.83,-0.499999977648258)); +#12606=CARTESIAN_POINT('',(3.50000000000001,2.4,-0.499999977648258)); +#12607=CARTESIAN_POINT('',(3.50000000000001,2.4,-0.549999988824129)); +#12608=CARTESIAN_POINT('',(3.50000000000001,-1.83,-0.499999977648258)); +#12609=CARTESIAN_POINT('Origin',(3.28000000000001,-1.83,-0.499999977648258)); +#12610=CARTESIAN_POINT('',(3.28000000000001,-1.83,-0.499999977648258)); +#12611=CARTESIAN_POINT('',(2.20000000000001,-1.83,-0.499999977648258)); +#12612=CARTESIAN_POINT('',(3.28000000000001,2.4,-0.499999977648258)); +#12613=CARTESIAN_POINT('',(1.64000000000001,2.4,-0.499999977648258)); +#12614=CARTESIAN_POINT('',(3.28000000000001,-1.83,-0.499999977648258)); +#12615=CARTESIAN_POINT('Origin',(3.28000000000001,-1.83,0.5)); +#12616=CARTESIAN_POINT('',(3.28000000000001,-1.83,-1.)); +#12617=CARTESIAN_POINT('',(3.28000000000001,2.4,-0.0500000000000003)); +#12618=CARTESIAN_POINT('Origin',(2.85000000000001,-1.83,-1.11758707843634E-8)); +#12619=CARTESIAN_POINT('Origin',(8.80000000000002,0.,-7.3)); +#12620=CARTESIAN_POINT('',(-7.70347257759,1.3408739718026,-7.3)); +#12621=CARTESIAN_POINT('',(-7.79999999999999,1.22877761029423,-7.3)); +#12622=CARTESIAN_POINT('',(-3.91587984629522,5.73936875653202,-7.3)); +#12623=CARTESIAN_POINT('',(-7.79999999999999,0.,-7.3)); +#12624=CARTESIAN_POINT('',(-7.79999999999999,0.,-7.3)); +#12625=CARTESIAN_POINT('',(7.80000000000002,0.,-7.3)); +#12626=CARTESIAN_POINT('',(4.40000000000001,0.,-7.3)); +#12627=CARTESIAN_POINT('',(7.80000000000002,1.22877761029423,-7.3)); +#12628=CARTESIAN_POINT('',(7.80000000000002,0.,-7.3)); +#12629=CARTESIAN_POINT('',(7.70347257759003,1.3408739718026,-7.3)); +#12630=CARTESIAN_POINT('',(7.66280053747824,1.38810601838403,-7.3)); +#12631=CARTESIAN_POINT('',(8.02500000000002,1.3408739718026,-7.3)); +#12632=CARTESIAN_POINT('Origin',(-6.49223119764658,2.74747686464012,-7.3)); +#12633=CARTESIAN_POINT('',(-6.79144738664224,2.4,-6.71159665100145)); +#12634=CARTESIAN_POINT('',(-4.0523541001597,5.58088252623779,-4.94443969198045)); +#12635=CARTESIAN_POINT('',(-6.79144738664224,2.4,5.74303662182757)); +#12636=CARTESIAN_POINT('',(-6.79144738664224,2.4,-3.95)); +#12637=CARTESIAN_POINT('',(-7.79999999999999,1.22877761029423,7.17453065369017)); +#12638=CARTESIAN_POINT('',(-4.56697018875624,4.98326384270633,2.58571414740873)); +#12639=CARTESIAN_POINT('',(-7.79999999999999,1.22877761029423,-7.8)); +#12640=CARTESIAN_POINT('Origin',(6.49223119764661,2.74747686464012,6.1)); +#12641=CARTESIAN_POINT('',(7.80000000000002,1.22877761029423,7.17453065369017)); +#12642=CARTESIAN_POINT('',(7.80000000000002,1.22877761029423,7.2)); +#12643=CARTESIAN_POINT('',(6.79144738664227,2.4,5.74303662182757)); +#12644=CARTESIAN_POINT('',(5.08486906784045,4.3818328863505,3.32079642739918)); +#12645=CARTESIAN_POINT('',(6.79144738664227,2.4,-6.71159665100145)); +#12646=CARTESIAN_POINT('',(6.79144738664227,2.4,2.75)); +#12647=CARTESIAN_POINT('',(5.11116102526323,4.35130029063372,-5.62754093398271)); +#12648=CARTESIAN_POINT('Origin',(1.30451205393456E-14,2.4,-0.600000000000001)); +#12649=CARTESIAN_POINT('',(3.62500000000001,2.4,-6.71159665100145)); +#12650=CARTESIAN_POINT('',(-3.62499999999999,2.4,5.74303662182757)); +#12651=CARTESIAN_POINT('Origin',(-8.79999999999999,0.,7.3)); +#12652=CARTESIAN_POINT('',(-7.79999999999999,0.,7.3)); +#12653=CARTESIAN_POINT('',(7.80000000000002,0.,7.3)); +#12654=CARTESIAN_POINT('',(-4.39999999999999,0.,7.3)); +#12655=CARTESIAN_POINT('',(-7.79999999999999,1.12612087240437,7.3)); +#12656=CARTESIAN_POINT('',(-7.79999999999999,0.,7.3)); +#12657=CARTESIAN_POINT('',(7.80000000000002,1.12612087240437,7.3)); +#12658=CARTESIAN_POINT('',(-8.02499999999999,1.12612087240437,7.3)); +#12659=CARTESIAN_POINT('',(7.80000000000002,0.,7.3)); +#12660=CARTESIAN_POINT('Origin',(-7.24999999999999,2.62604270079668,5.46676220974274)); +#12661=CARTESIAN_POINT('',(7.80000000000002,1.40525062158876,6.95884141766353)); +#12662=CARTESIAN_POINT('',(-7.79999999999999,5.47307240376698,1.98705923944571)); +#12663=CARTESIAN_POINT('Origin',(7.80000000000002,0.,8.3)); +#12664=CARTESIAN_POINT('',(7.80000000000002,0.,4.15)); +#12665=CARTESIAN_POINT('Origin',(-7.79999999999999,0.,-8.3)); +#12666=CARTESIAN_POINT('',(-7.79999999999999,0.,-4.15)); +#12667=CARTESIAN_POINT('Origin',(7.25000000000001,2.91435706882137,-6.42584272387846)); +#12668=CARTESIAN_POINT('Origin',(8.60000000000002,0.,-8.1)); +#12669=CARTESIAN_POINT('',(8.79834581241109,1.60192099203875,-8.12566980130407)); +#12670=CARTESIAN_POINT('',(8.80000000000002,1.6,-8.1)); +#12671=CARTESIAN_POINT('Origin',(8.60000000000002,1.83225806451613,-8.1)); +#12672=CARTESIAN_POINT('',(8.80000000000002,0.,-8.1)); +#12673=CARTESIAN_POINT('',(8.80000000000002,0.,-8.1)); +#12674=CARTESIAN_POINT('',(8.60000000000002,0.,-8.3)); +#12675=CARTESIAN_POINT('Origin',(8.60000000000002,0.,-8.1)); +#12676=CARTESIAN_POINT('',(8.60000000000002,1.5995148417549,-8.3)); +#12677=CARTESIAN_POINT('',(8.60000000000002,0.,-8.3)); +#12678=CARTESIAN_POINT('Ctrl Pts',(8.60000000000002,1.5995148417549,-8.3)); +#12679=CARTESIAN_POINT('Ctrl Pts',(8.62043979033133,1.5983565396983,-8.3)); +#12680=CARTESIAN_POINT('Ctrl Pts',(8.64130546169999,1.60035508396277,-8.29665938391323)); +#12681=CARTESIAN_POINT('Ctrl Pts',(8.67842447774071,1.6047059530006,-8.28500114546408)); +#12682=CARTESIAN_POINT('Ctrl Pts',(8.69748004012471,1.6074563713502,-8.27588449737182)); +#12683=CARTESIAN_POINT('Ctrl Pts',(8.73172392978262,1.61116939088439,-8.25193487857192)); +#12684=CARTESIAN_POINT('Ctrl Pts',(8.74691466526046,1.61210078283295,-8.23710052292367)); +#12685=CARTESIAN_POINT('Ctrl Pts',(8.77066571810624,1.61165241452629,-8.20609220393056)); +#12686=CARTESIAN_POINT('Ctrl Pts',(8.78103245412115,1.61023587426543,-8.18753412473495)); +#12687=CARTESIAN_POINT('Ctrl Pts',(8.79311685904896,1.60616311920783,-8.15406327936367)); +#12688=CARTESIAN_POINT('Ctrl Pts',(8.79652951840179,1.60403023669472,-8.13970396956995)); +#12689=CARTESIAN_POINT('Ctrl Pts',(8.79834581241109,1.60192099203875,-8.12566980130407)); +#12690=CARTESIAN_POINT('Origin',(6.88579031969191,2.41924867487976,-6.79705307425874)); +#12691=CARTESIAN_POINT('',(8.58322628290473,1.6,-8.3)); +#12692=CARTESIAN_POINT('Origin',(8.73595240275048,1.39900020813111,-8.3)); +#12693=CARTESIAN_POINT('',(6.16613141452356,3.4,-7.3)); +#12694=CARTESIAN_POINT('',(6.67457841329485,3.02136173893693,-7.51035458947948)); +#12695=CARTESIAN_POINT('',(7.25000000000001,3.4,-6.43736756228406)); +#12696=CARTESIAN_POINT('Origin',(0.673248066457444,3.4,-2.06567581281921)); +#12697=CARTESIAN_POINT('',(7.43234721564825,3.18824194311818,-6.63619731335795)); +#12698=CARTESIAN_POINT('Origin',(8.80000000000002,0.,-8.3)); +#12699=CARTESIAN_POINT('',(-8.59999999999999,0.,-8.3)); +#12700=CARTESIAN_POINT('',(-8.79999999999999,0.,-8.3)); +#12701=CARTESIAN_POINT('',(-8.59999999999999,1.5995148417549,-8.3)); +#12702=CARTESIAN_POINT('',(-8.59999999999999,0.,-8.3)); +#12703=CARTESIAN_POINT('',(-8.5832262829047,1.6,-8.3)); +#12704=CARTESIAN_POINT('Origin',(-8.73595240275045,1.39900020813111,-8.3)); +#12705=CARTESIAN_POINT('',(-8.79999999999999,1.6,-8.3)); +#12706=CARTESIAN_POINT('Origin',(1.33226762955019E-14,0.,0.)); +#12707=CARTESIAN_POINT('',(8.80000000000002,0.,8.1)); +#12708=CARTESIAN_POINT('',(8.80000000000002,0.,-8.3)); +#12709=CARTESIAN_POINT('',(8.60000000000002,0.,8.3)); +#12710=CARTESIAN_POINT('Origin',(8.60000000000002,0.,8.1)); +#12711=CARTESIAN_POINT('',(-8.59999999999999,0.,8.3)); +#12712=CARTESIAN_POINT('',(8.80000000000002,0.,8.3)); +#12713=CARTESIAN_POINT('',(-8.79999999999999,0.,8.1)); +#12714=CARTESIAN_POINT('Origin',(-8.59999999999999,0.,8.1)); +#12715=CARTESIAN_POINT('',(-8.79999999999999,0.,-8.1)); +#12716=CARTESIAN_POINT('',(-8.79999999999999,0.,8.3)); +#12717=CARTESIAN_POINT('Origin',(-8.59999999999999,0.,-8.1)); +#12718=CARTESIAN_POINT('Origin',(-8.59999999999999,0.,-8.1)); +#12719=CARTESIAN_POINT('',(-8.79834581241107,1.60192099203875,-8.12566980130407)); +#12720=CARTESIAN_POINT('Ctrl Pts',(-8.79834581241106,1.60192099203875,-8.12566980130407)); +#12721=CARTESIAN_POINT('Ctrl Pts',(-8.79652951840176,1.60403023669472,-8.13970396956995)); +#12722=CARTESIAN_POINT('Ctrl Pts',(-8.79311685904893,1.60616311920783,-8.15406327936367)); +#12723=CARTESIAN_POINT('Ctrl Pts',(-8.78103245412112,1.61023587426543,-8.18753412473495)); +#12724=CARTESIAN_POINT('Ctrl Pts',(-8.77066571810622,1.61165241452629,-8.20609220393056)); +#12725=CARTESIAN_POINT('Ctrl Pts',(-8.74691466526043,1.61210078283295,-8.23710052292367)); +#12726=CARTESIAN_POINT('Ctrl Pts',(-8.73172392978259,1.61116939088439,-8.25193487857192)); +#12727=CARTESIAN_POINT('Ctrl Pts',(-8.69748004012468,1.6074563713502,-8.27588449737182)); +#12728=CARTESIAN_POINT('Ctrl Pts',(-8.67842447774068,1.6047059530006,-8.28500114546408)); +#12729=CARTESIAN_POINT('Ctrl Pts',(-8.64130546169996,1.60035508396277,-8.29665938391323)); +#12730=CARTESIAN_POINT('Ctrl Pts',(-8.6204397903313,1.5983565396983,-8.3)); +#12731=CARTESIAN_POINT('Ctrl Pts',(-8.59999999999999,1.5995148417549,-8.3)); +#12732=CARTESIAN_POINT('',(-8.79999999999999,1.6,-8.1)); +#12733=CARTESIAN_POINT('',(-8.79999999999999,0.,-8.1)); +#12734=CARTESIAN_POINT('Origin',(-8.59999999999999,1.83225806451613,-8.1)); +#12735=CARTESIAN_POINT('Origin',(-6.88579031969188,2.41924867487976,-6.79705307425874)); +#12736=CARTESIAN_POINT('',(-7.24999999999999,3.4,-6.43736756228406)); +#12737=CARTESIAN_POINT('',(-7.43234721564823,3.18824194311818,-6.63619731335795)); +#12738=CARTESIAN_POINT('',(-6.16613141452353,3.4,-7.3)); +#12739=CARTESIAN_POINT('Origin',(-0.673248066457437,3.4,-2.06567581281922)); +#12740=CARTESIAN_POINT('',(-6.67457841329482,3.02136173893693,-7.51035458947948)); +#12741=CARTESIAN_POINT('Origin',(-8.59999999999999,0.,8.1)); +#12742=CARTESIAN_POINT('',(-8.59999999999999,1.6,8.3)); +#12743=CARTESIAN_POINT('',(-8.59999999999999,0.,8.3)); +#12744=CARTESIAN_POINT('',(-8.66233991071029,1.60815224688109,8.29003614270089)); +#12745=CARTESIAN_POINT('Origin',(-8.59999999999999,1.76363636363636,8.1)); +#12746=CARTESIAN_POINT('',(-8.79628096097194,1.60431888403258,8.13838989919145)); +#12747=CARTESIAN_POINT('Ctrl Pts',(-8.66233991071029,1.60815224688109,8.29003614270089)); +#12748=CARTESIAN_POINT('Ctrl Pts',(-8.68426998288512,1.61403824141607,8.28284214938036)); +#12749=CARTESIAN_POINT('Ctrl Pts',(-8.70514190169214,1.61764586193943,8.27178505360603)); +#12750=CARTESIAN_POINT('Ctrl Pts',(-8.74192251556425,1.6203719628193,8.24279350320172)); +#12751=CARTESIAN_POINT('Ctrl Pts',(-8.75738290082009,1.61956822798791,8.22553321121246)); +#12752=CARTESIAN_POINT('Ctrl Pts',(-8.78266494064205,1.61435664760228,8.18510970035567)); +#12753=CARTESIAN_POINT('Ctrl Pts',(-8.79162859743241,1.60972162878816,8.16217663491001)); +#12754=CARTESIAN_POINT('Ctrl Pts',(-8.79628096097194,1.60431888403258,8.13838989919145)); +#12755=CARTESIAN_POINT('',(-8.79999999999999,1.6,8.1)); +#12756=CARTESIAN_POINT('Origin',(-8.59999999999999,1.83225806451613,8.1)); +#12757=CARTESIAN_POINT('',(-8.79999999999999,0.,8.1)); +#12758=CARTESIAN_POINT('Origin',(-4.96976417846194,5.74151325029657,2.92231335178082)); +#12759=CARTESIAN_POINT('',(-7.11935990108012,3.4,6.1)); +#12760=CARTESIAN_POINT('',(-4.96976417846194,5.89630471013724,3.04896090983227)); +#12761=CARTESIAN_POINT('',(-7.24999999999999,3.4,5.94366853523126)); +#12762=CARTESIAN_POINT('Origin',(-6.98606725510622,3.4,5.78416287992107)); +#12763=CARTESIAN_POINT('',(-5.12131793893262,5.87201787736855,2.92231335178082)); +#12764=CARTESIAN_POINT('Origin',(-8.79999999999999,0.,8.3)); +#12765=CARTESIAN_POINT('',(8.60000000000002,1.6,8.3)); +#12766=CARTESIAN_POINT('',(8.60000000000002,0.,8.3)); +#12767=CARTESIAN_POINT('',(8.80000000000002,1.6,8.3)); +#12768=CARTESIAN_POINT('Origin',(-8.79999999999999,0.,-8.3)); +#12769=CARTESIAN_POINT('',(-8.79999999999999,1.6,8.3)); +#12770=CARTESIAN_POINT('Origin',(8.60000000000002,0.,8.1)); +#12771=CARTESIAN_POINT('',(8.80000000000002,1.6,8.1)); +#12772=CARTESIAN_POINT('',(8.80000000000002,0.,8.1)); +#12773=CARTESIAN_POINT('',(8.79628096097196,1.60431888403258,8.13838989919144)); +#12774=CARTESIAN_POINT('Origin',(8.60000000000002,1.83225806451613,8.1)); +#12775=CARTESIAN_POINT('',(8.66233991071032,1.60815224688109,8.29003614270089)); +#12776=CARTESIAN_POINT('Ctrl Pts',(8.79628096097196,1.60431888403258,8.13838989919144)); +#12777=CARTESIAN_POINT('Ctrl Pts',(8.79141293413979,1.60997207648284,8.16327928428442)); +#12778=CARTESIAN_POINT('Ctrl Pts',(8.78182788672877,1.6147763620273,8.18722175823759)); +#12779=CARTESIAN_POINT('Ctrl Pts',(8.75541758783456,1.61972459052442,8.22789669013673)); +#12780=CARTESIAN_POINT('Ctrl Pts',(8.73995554755846,1.62034878909455,8.24466307685318)); +#12781=CARTESIAN_POINT('Ctrl Pts',(8.70376649660559,1.61740647358892,8.27251139026869)); +#12782=CARTESIAN_POINT('Ctrl Pts',(8.68354196162867,1.61384284173371,8.28308097121435)); +#12783=CARTESIAN_POINT('Ctrl Pts',(8.66233991071032,1.60815224688109,8.29003614270089)); +#12784=CARTESIAN_POINT('Origin',(8.60000000000002,1.76363636363636,8.1)); +#12785=CARTESIAN_POINT('Origin',(5.48766305754616,5.14008229394074,3.65739563177127)); +#12786=CARTESIAN_POINT('',(7.25000000000001,3.4,5.94366853523126)); +#12787=CARTESIAN_POINT('',(5.63921681801684,5.27058692101272,3.65739563177127)); +#12788=CARTESIAN_POINT('',(7.11935990108015,3.4,6.1)); +#12789=CARTESIAN_POINT('Origin',(6.98606725510624,3.4,5.78416287992107)); +#12790=CARTESIAN_POINT('',(5.48766305754616,5.29487375378141,3.78404318982272)); +#12791=CARTESIAN_POINT('Origin',(-7.24999999999999,3.4,6.1)); +#12792=CARTESIAN_POINT('',(-7.24999999999999,3.4,6.1)); +#12793=CARTESIAN_POINT('Origin',(1.30451205393456E-14,3.4,-0.600000000000001)); +#12794=CARTESIAN_POINT('',(7.25000000000001,3.4,6.1)); +#12795=CARTESIAN_POINT('',(7.25000000000001,3.4,-7.3)); +#12796=CARTESIAN_POINT('',(-7.24999999999999,3.4,-7.3)); +#12797=CARTESIAN_POINT('Origin',(7.25000000000001,3.4,-7.3)); +#12798=CARTESIAN_POINT('Origin',(8.80000000000002,0.,8.3)); +#12799=CARTESIAN_POINT('',(8.80000000000002,1.6,-8.3)); +#12800=CARTESIAN_POINT('Origin',(7.25000000000001,3.4,6.1)); +#12801=CARTESIAN_POINT('Origin',(-7.24999999999999,3.4,-7.3)); +#12802=CARTESIAN_POINT('',(0.09,-4.,-0.23)); +#12803=CARTESIAN_POINT('',(0.,0.,0.)); +#12804=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#12812, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#12805=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#12812, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#12806=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#12812, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#12807=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#12812, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#12808=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#12804)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#12812,#12814,#12815)) +REPRESENTATION_CONTEXT('','3D') +); +#12809=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#12805)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#12812,#12814,#12815)) +REPRESENTATION_CONTEXT('','3D') +); +#12810=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#12806)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#12812,#12814,#12815)) +REPRESENTATION_CONTEXT('','3D') +); +#12811=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#12807)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#12812,#12814,#12815)) +REPRESENTATION_CONTEXT('','3D') +); +#12812=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#12813=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT($,.METRE.) +); +#12814=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#12815=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#12816=SHAPE_DEFINITION_REPRESENTATION(#12819,#12824); +#12817=SHAPE_DEFINITION_REPRESENTATION(#12820,#12825); +#12818=SHAPE_DEFINITION_REPRESENTATION(#12821,#12826); +#12819=PRODUCT_DEFINITION_SHAPE('',$,#12828); +#12820=PRODUCT_DEFINITION_SHAPE('',$,#12829); +#12821=PRODUCT_DEFINITION_SHAPE('',$,#12830); +#12822=PRODUCT_DEFINITION_SHAPE($,$,#17); +#12823=PRODUCT_DEFINITION_SHAPE($,$,#18); +#12824=SHAPE_REPRESENTATION('',(#7773,#8326,#8327),#12808); +#12825=SHAPE_REPRESENTATION('',(#7774),#12809); +#12826=SHAPE_REPRESENTATION('',(#8256),#12810); +#12827=PRODUCT_DEFINITION_CONTEXT('part definition',#12838,'design'); +#12828=PRODUCT_DEFINITION('Kailh_keycap-switch_system', +'Kailh_keycap-switch_system v3',#12831,#12827); +#12829=PRODUCT_DEFINITION('CPG135001D02 BROWN','CPG135001D02 BROWN v5', +#12832,#12827); +#12830=PRODUCT_DEFINITION('Kailh_keycap','Kailh_keycap',#12833,#12827); +#12831=PRODUCT_DEFINITION_FORMATION('',$,#12840); +#12832=PRODUCT_DEFINITION_FORMATION('',$,#12841); +#12833=PRODUCT_DEFINITION_FORMATION('',$,#12842); +#12834=PRODUCT_RELATED_PRODUCT_CATEGORY('Kailh_keycap-switch_system v3', +'Kailh_keycap-switch_system v3',(#12840)); +#12835=PRODUCT_RELATED_PRODUCT_CATEGORY('CPG135001D02 BROWN v5', +'CPG135001D02 BROWN v5',(#12841)); +#12836=PRODUCT_RELATED_PRODUCT_CATEGORY('Kailh_keycap','Kailh_keycap',(#12842)); +#12837=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#12838); +#12838=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#12839=PRODUCT_CONTEXT('part definition',#12838,'mechanical'); +#12840=PRODUCT('Kailh_keycap-switch_system', +'Kailh_keycap-switch_system v3',$,(#12839)); +#12841=PRODUCT('CPG135001D02 BROWN','CPG135001D02 BROWN v5',$,(#12839)); +#12842=PRODUCT('Kailh_keycap','Kailh_keycap',$,(#12839)); +#12843=PRESENTATION_STYLE_ASSIGNMENT((#12844)); +#12844=SURFACE_STYLE_USAGE(.BOTH.,#12845); +#12845=SURFACE_SIDE_STYLE('',(#12846)); +#12846=SURFACE_STYLE_FILL_AREA(#12847); +#12847=FILL_AREA_STYLE('Steel - Satin',(#12848)); +#12848=FILL_AREA_STYLE_COLOUR('Steel - Satin',#12849); +#12849=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); +ENDSEC; +END-ISO-10303-21; diff --git a/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.wrl_ b/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.wrl_ new file mode 100644 index 0000000..fafafba --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/3d-models/Kailh_keycap-switch_system_1x1.wrl_ @@ -0,0 +1,6791 @@ +#VRML V2.0 utf8 + + +Group { + children + DEF o0 Group { + children + DEF o1 Group { + children + DEF o2 Transform { + translation 0 0 0 + rotation 0 0 1 0 + scale 1 1 1 + scaleOrientation 0 0 1 0 + center 0 0 0 + children [ + DEF o3 Group { + children + DEF o4 Transform { + translation 0 0 0 + rotation 0 0 1 0 + scale 1 1 1 + scaleOrientation 0 0 1 0 + center 0 0 0 + + } + + }, + + DEF o5 Group { + children + DEF o6 Transform { + translation 0.090000004 -4 -0.23 + rotation 0 0 1 0 + scale 1 1 1 + scaleOrientation 0 0 1 0 + center 0 0 0 + children + DEF o7 Group { + children [ + DEF o8 Group { + children + DEF o9 Shape { + appearance + Appearance { + material + Material { + diffuseColor 0.998039217 0.098039217 0.098039217 + shininess 1 + + } + + } + geometry + IndexedLineSet { + coord + DEF o10 Coordinate { + point [ 5.2033286 0.40000001 2.1192229, + 5.2078977 0.40000001 2.1395485, + 5.2078977 0.40000001 2.1399031, + 5.1333461 0.40000001 1.9582533, + 5.187789 0.40000001 2.0488861, + 5.2033286 0.40000001 2.1192229, + 5.1874371 0.40000001 2.048532, + 5.1256418 0.40000001 1.9406461, + 5.1333461 0.40000001 1.9582533, + 5.1267581 0.40000001 1.9472861, + 5.1230364 0.40000001 1.7262962, + 5.106297 0.40000001 1.8255777, + 5.1256418 0.40000001 1.9406461, + 5.1052709 0.40000001 1.8681052, + 5.1033664 0.40000001 1.8097589, + 5.1104174 0.40000001 1.7668813, + 5.1609392 0.40000001 1.6415906, + 5.1230364 0.40000001 1.7262962, + 5.1267581 0.40000001 1.7042222, + 5.1969366 0.40000001 1.5556406, + 5.187789 0.40000001 1.5923916, + 5.1609392 0.40000001 1.6415906, + -3.159286 0.40000001 -2.1470528, + -3.0785 0.40000001 -2.1777444, + -3.0781472 0.40000001 -2.1777444, + 5.5627918 0.40000001 6.901598, + -5.7455001 0.40000001 6.901598, + 5.5627918 2 6.6194749, + -5.7455001 2 6.6194749, + 6.5952749 0.40000001 5.9294343, + 5.5627918 0.40000001 6.901598, + 6.5580087 0.40000001 6.1490812, + 6.4745727 0.40000001 6.3556538, + 6.3488383 0.40000001 6.5395679, + 6.1866384 0.40000001 6.6922917, + 5.9954967 0.40000001 6.8067412, + 5.7842817 0.40000001 6.8776054, + 6.3131514 2 5.9202704, + 5.5627918 2 6.6194749, + 5.7227793 2 6.6022649, + 5.8754458 2 6.5514216, + 6.0138063 2 6.4692717, + 6.1315289 2 6.3595748, + 6.2232275 2 6.2273493, + 6.2847061 2 6.0786467, + 6.595273 0.40000001 -5.4208307, + 6.5952749 0.40000001 5.9294343, + 6.3131499 2 -5.4208307, + 6.3131514 2 5.9202704, + 5.5644345 0.40000001 -6.4628372, + 6.595273 0.40000001 -5.4208307, + 5.7944613 0.40000001 -6.434586, + 6.0125551 0.40000001 -6.3561926, + 6.2079287 0.40000001 -6.2315354, + 6.3709188 0.40000001 -6.0667796, + 6.4934635 0.40000001 -5.8700738, + 6.5695019 0.40000001 -5.6511483, + 5.5627909 2 -6.1807141, + 6.3131499 2 -5.4208307, + 6.2944002 2 -5.5885987, + 6.2390757 2 -5.7480884, + 6.1499066 2 -5.8914294, + 6.0312934 2 -6.011548, + 5.8890882 2 -6.1025181, + 5.7303095 2 -6.1598496, + -5.7470732 0.40000001 -6.4631901, + 5.5644345 0.40000001 -6.4628372, + -5.7455001 2 -6.1810665, + 5.5627909 2 -6.1807141, + -6.7783341 0.40000001 -5.4211831, + -5.7470732 0.40000001 -6.4631901, + -6.7525496 0.40000001 -5.6515594, + -6.6764727 0.40000001 -5.8705344, + -6.5538673 0.40000001 -6.0672727, + -6.3908014 0.40000001 -6.232038, + -6.1953449 0.40000001 -6.3566756, + -5.97717 0.40000001 -6.435019, + -6.4962111 2 -5.4211831, + -5.7455001 2 -6.1810665, + -5.9130769 2 -6.1602693, + -6.0719237 2 -6.1029792, + -6.2141976 2 -6.0120254, + -6.3328743 2 -5.8918991, + -6.4220934 2 -5.7485309, + -6.4774499 2 -5.5890002, + -6.7783346 0.40000001 5.8682857, + -6.7783341 0.40000001 -5.4211831, + -6.4962111 2 5.8694696, + -6.4962111 2 -5.4211831, + -5.7455001 0.40000001 6.901598, + -6.7783346 0.40000001 5.8682857, + -5.9753938 0.40000001 6.8756876, + -6.1937532 0.40000001 6.7992563, + -6.3896222 0.40000001 6.6761384, + -6.5531731 0.40000001 6.5125117, + -6.6761999 0.40000001 6.316586, + -6.7525306 0.40000001 6.0981913, + -5.7455001 2 6.6194749, + -6.4962111 2 5.8694696, + -6.4772549 2 6.0364022, + -6.4216485 2 6.1949391, + -6.3321781 2 6.3371396, + -6.2133245 2 6.4558821, + -6.0710397 2 6.5452185, + -5.9124508 2 6.6006756, + -6.4962111 2 5.8694696, + -5.7455001 2 6.6194749, + -6.4772549 2 6.0364022, + -6.4216485 2 6.1949391, + -6.3321781 2 6.3371396, + -6.2133245 2 6.4558821, + -6.0710397 2 6.5452185, + -5.9124508 2 6.6006756, + 5.5627918 2 6.6194749, + 6.3131514 2 5.9202704, + 5.7227793 2 6.6022649, + 5.8754458 2 6.5514216, + 6.0138063 2 6.4692717, + 6.1315289 2 6.3595748, + 6.2232275 2 6.2273493, + 6.2847061 2 6.0786467, + 6.3131499 2 -5.4208307, + 5.5627909 2 -6.1807141, + 6.2944002 2 -5.5885987, + 6.2390757 2 -5.7480884, + 6.1499066 2 -5.8914294, + 6.0312934 2 -6.011548, + 5.8890882 2 -6.1025181, + 5.7303095 2 -6.1598496, + -5.7455001 2 -6.1810665, + -6.4962111 2 -5.4211831, + -5.9130769 2 -6.1602693, + -6.0719237 2 -6.1029792, + -6.2141976 2 -6.0120254, + -6.3328743 2 -5.8918991, + -6.4220934 2 -5.7485309, + -6.4774499 2 -5.5890002, + 5.1609392 0 1.6415906, + 5.1267581 0 1.7042222, + 5.1609392 0.40000001 1.6415906, + 5.1267581 0.40000001 1.7042222, + 5.1267581 0 1.7042222, + 5.1230364 0 1.7262962, + 5.1267581 0.40000001 1.7042222, + 5.1230364 0.40000001 1.7262962, + 5.1230364 0 1.7262962, + 5.1256418 0 1.9406461, + 5.1057281 0 1.7901448, + 5.1035089 0 1.8531554, + 5.1106505 0 1.8952379, + 5.1230364 0.40000001 1.7262962, + 5.1256418 0.40000001 1.9406461, + 5.1052709 0.40000001 1.8681052, + 5.1033664 0.40000001 1.8097589, + 5.1104174 0.40000001 1.7668813, + 5.1256418 0 1.9406461, + 5.1267581 0 1.9472861, + 5.1256418 0.40000001 1.9406461, + 5.1267581 0.40000001 1.9472861, + 5.1267581 0 1.9472861, + 5.1333461 0 1.9582533, + 5.1267581 0.40000001 1.9472861, + 5.1333461 0.40000001 1.9582533, + 5.1333461 0 1.9582533, + 5.1874371 0 2.048532, + 5.1333461 0.40000001 1.9582533, + 5.1874371 0.40000001 2.048532, + 5.1874371 0 2.048532, + 5.2033286 0 2.1192229, + 5.1874371 0.40000001 2.048532, + 5.2033286 0.40000001 2.1192229, + 5.2033286 0 2.1192229, + 5.2078977 0 2.1399031, + 5.2033286 0.40000001 2.1192229, + 5.2078977 0.40000001 2.1399031, + 5.2078977 0 2.1399031, + 5.2078977 0 2.1395485, + 5.2078977 0.40000001 2.1399031, + 5.2078977 0.40000001 2.1395485, + 5.2078977 0 2.1395485, + 5.2033286 0 2.1192229, + 5.2078977 0.40000001 2.1395485, + 5.2033286 0.40000001 2.1192229, + 5.2033286 0 2.1192229, + 5.187789 0 2.0488861, + 5.2033286 0.40000001 2.1192229, + 5.187789 0.40000001 2.0488861, + 5.187789 0 2.0488861, + 5.1333461 0 1.9582533, + 5.187789 0.40000001 2.0488861, + 5.1333461 0.40000001 1.9582533, + 5.1333461 0 1.9582533, + 5.1256418 0 1.9406461, + 5.1333461 0.40000001 1.9582533, + 5.1256418 0.40000001 1.9406461, + 5.1256418 0 1.9406461, + 5.106297 0 1.8255777, + 5.1256418 0.40000001 1.9406461, + 5.106297 0.40000001 1.8255777, + 5.106297 0 1.8255777, + 5.1230364 0 1.7262962, + 5.106297 0.40000001 1.8255777, + 5.1230364 0.40000001 1.7262962, + 5.1230364 0 1.7262962, + 5.1609392 0 1.6415906, + 5.1230364 0.40000001 1.7262962, + 5.1609392 0.40000001 1.6415906, + 5.1609392 0 1.6415906, + 5.187789 0 1.5923916, + 5.1609392 0.40000001 1.6415906, + 5.187789 0.40000001 1.5923916, + 5.187789 0 1.5923916, + 5.1969366 0 1.5556406, + 5.187789 0.40000001 1.5923916, + 5.1969366 0.40000001 1.5556406, + 5.1969366 0 1.5556406, + 5.2077026 0 1.5123882, + 5.1969366 0.40000001 1.5556406, + 5.2077026 0.40000001 1.5123882, + 5.2077026 0 1.5123882, + 5.1969366 0 1.5556406, + 5.2077026 0.40000001 1.5123882, + 5.1969366 0.40000001 1.5556406, + 5.1969366 0 1.5556406, + 5.1609392 0 1.6415906, + 5.1969366 0.40000001 1.5556406, + 5.1609392 0.40000001 1.6415906, + -6.8408751 0 -5.3802609, + -6.9932752 0 -5.5220776, + -6.8408751 0.40000001 -5.3802609, + -6.9932752 0.40000001 -5.5220776, + -6.9932752 0 -5.5220776, + -6.9932752 0 -5.9171891, + -6.9932752 0.40000001 -5.5220776, + -6.9932752 0.40000001 -5.9171891, + -6.2429166 0 -6.6774249, + 6.0595026 0 -6.6774249, + -6.2429166 0.40000001 -6.6774249, + 6.0595026 0.40000001 -6.6774249, + 6.810214 0 -5.9171891, + 6.810214 0 -5.5220776, + 6.810214 0.40000001 -5.9171891, + 6.810214 0.40000001 -5.5220776, + 6.810214 0 -5.5220776, + 6.6581669 0 -5.3802609, + 6.810214 0.40000001 -5.5220776, + 6.6581669 0.40000001 -5.3802609, + 6.6581669 0 5.8190222, + 6.810214 0 5.9710693, + 6.6581669 0.40000001 5.8190222, + 6.810214 0.40000001 5.9710693, + 6.810214 0 5.9710693, + 6.810214 0 6.3661804, + 6.810214 0.40000001 5.9710693, + 6.810214 0.40000001 6.3661804, + 6.0595026 0 7.116539, + 6.0090556 0 7.1264167, + 6.0595026 0.40000001 7.116539, + 6.0090556 0.40000001 7.1264167, + 6.0090556 0 7.1264167, + -6.1917639 0 7.1264167, + 6.0090556 0.40000001 7.1264167, + -6.1917639 0.40000001 7.1264167, + -6.9932752 0 6.3661804, + -6.9932752 0 5.9710693, + -6.9932752 0.40000001 6.3661804, + -6.9932752 0.40000001 5.9710693, + -6.9932752 0 5.9710693, + -6.8408751 0 5.8190222, + -6.9932752 0.40000001 5.9710693, + -6.8408751 0.40000001 5.8190222, + -6.8408751 0.40000001 5.8190222, + -6.9932752 0.40000001 5.9710693, + -6.9932752 0.40000001 6.3661804, + -6.9629359 0.40000001 6.5587974, + -6.8814445 0.40000001 6.7309527, + -6.7597361 0.40000001 6.8932304, + -6.6080418 0.40000001 7.0050611, + -6.4355335 0.40000001 7.0858474, + -6.2429166 0.40000001 7.116539, + -6.1917639 0.40000001 7.1264167, + 6.0090556 0.40000001 7.1264167, + 6.0595026 0.40000001 7.116539, + 6.2524724 0.40000001 7.0858474, + 6.4249806 0.40000001 7.0050611, + 6.5770278 0.40000001 6.8932304, + 6.6987362 0.40000001 6.7309527, + 6.7795224 0.40000001 6.5587974, + 6.810214 0.40000001 6.3661804, + 6.810214 0.40000001 5.9710693, + 6.6581669 0.40000001 5.8190222, + 6.6581669 0.40000001 -5.3802609, + 6.810214 0.40000001 -5.5220776, + 6.810214 0.40000001 -5.9171891, + 6.7795224 0.40000001 -6.1101584, + 6.6987362 0.40000001 -6.2925444, + 6.5770278 0.40000001 -6.4445915, + 6.4249806 0.40000001 -6.5560694, + 6.2524724 0.40000001 -6.6368556, + 6.0595026 0.40000001 -6.6774249, + -6.2429166 0.40000001 -6.6774249, + -6.4355335 0.40000001 -6.6368556, + -6.6080418 0.40000001 -6.5560694, + -6.7597361 0.40000001 -6.4445915, + -6.8814445 0.40000001 -6.2925444, + -6.9629359 0.40000001 -6.1101584, + -6.9932752 0.40000001 -5.9171891, + -6.9932752 0.40000001 -5.5220776, + -6.8408751 0.40000001 -5.3802609, + 5.5627918 0.40000001 6.901598, + -5.7455001 0.40000001 6.901598, + -6.7783346 0.40000001 5.8682857, + -5.9753938 0.40000001 6.8756876, + -6.1937532 0.40000001 6.7992563, + -6.3896222 0.40000001 6.6761384, + -6.5531731 0.40000001 6.5125117, + -6.6761999 0.40000001 6.316586, + -6.7525306 0.40000001 6.0981913, + -6.7783341 0.40000001 -5.4211831, + -5.7470732 0.40000001 -6.4631901, + -6.7525496 0.40000001 -5.6515594, + -6.6764727 0.40000001 -5.8705344, + -6.5538673 0.40000001 -6.0672727, + -6.3908014 0.40000001 -6.232038, + -6.1953449 0.40000001 -6.3566756, + -5.97717 0.40000001 -6.435019, + 5.5644345 0.40000001 -6.4628372, + 6.595273 0.40000001 -5.4208307, + 5.7944613 0.40000001 -6.434586, + 6.0125551 0.40000001 -6.3561926, + 6.2079287 0.40000001 -6.2315354, + 6.3709188 0.40000001 -6.0667796, + 6.4934635 0.40000001 -5.8700738, + 6.5695019 0.40000001 -5.6511483, + 6.5952749 0.40000001 5.9294343, + 6.5580087 0.40000001 6.1490812, + 6.4745727 0.40000001 6.3556538, + 6.3488383 0.40000001 6.5395679, + 6.1866384 0.40000001 6.6922917, + 5.9954967 0.40000001 6.8067412, + 5.7842817 0.40000001 6.8776054, + 6.6581669 0 -4.9138889, + 6.6581669 0 -1.6401111, + 7.1651082 0 -1.6401111, + 7.1651082 0 -4.9138889, + 6.6581669 0 5.5251584, + 6.6581669 0 5.8190222, + 6.810214 0 5.9710693, + 6.810214 0 6.3661804, + 6.7809606 0 6.5497704, + 6.7955728 0 6.4894142, + 6.8053441 0 6.4280887, + 6.7795224 0 6.5587974, + 6.7761669 0 6.565948, + 6.5770278 0 6.8932304, + 6.6612034 0 6.7956486, + 6.7281909 0 6.6855559, + 6.2597265 0 7.0824499, + 6.3751249 0 7.0365486, + 6.4817905 0 6.9729395, + 6.2524724 0 7.0858474, + 6.2433858 0 7.0872927, + 6.0595026 0 7.116539, + 6.1215096 0 7.1116872, + 6.1829333 0 7.1019173, + 6.0090556 0 7.1264167, + -6.1917639 0 7.1264167, + -6.7597361 0 6.8932304, + -6.6379824 0 6.9928236, + -6.499064 0 7.0666094, + -6.3483744 0 7.1117244, + -6.9545608 0 6.5764909, + -6.9064999 0 6.6918545, + -6.8410234 0 6.7983041, + -6.9629359 0 6.5587974, + -6.9663692 0 6.5370007, + -6.9932752 0 6.3661804, + -6.9884372 0 6.4237204, + -6.9794531 0 6.4807601, + -6.9932752 0 5.9710693, + -6.8408751 0 5.8190222, + -6.8408751 0 5.5251584, + -7.3989697 0 5.5251584, + -7.5915861 0 5.7280054, + -7.4429989 0 5.5309176, + -7.484601 0 5.5464406, + -7.5216413 0 5.570931, + -7.5522175 0 5.6031313, + -7.57476 0 5.6413879, + -7.5881109 0 5.6837373, + -7.5915861 0 6.2243648, + -6.0905166 0 7.7243748, + -7.5537491 0 6.5582099, + -7.4426055 0 6.8752766, + -7.2637229 0 7.1596799, + -7.0260634 0 7.397172, + -6.7415338 0 7.5758538, + -6.4243884 0 7.6867738, + 5.9078083 0 7.7243748, + 7.3982935 0 6.4571977, + 6.2592416 0 7.6829143, + 6.5913782 0 7.5608091, + 6.8859806 0 7.3647642, + 7.1268725 0 7.1055436, + 7.3008275 0 6.7973814, + 7.4088778 0 5.7280054, + 7.2159085 0 5.5251584, + 7.4063439 0 5.6833091, + 7.3934898 0 5.6404262, + 7.3710184 0 5.601706, + 7.3401623 0 5.5692701, + 7.3026114 0 5.5448956, + 7.2604227 0 5.5299182, + -6.8408751 0 5.3628807, + -6.8408751 0 2.0891027, + -7.3478165 0 2.0891027, + -7.3478165 0 5.3526502, + 6.810214 0 -5.9171891, + 6.810214 0 -5.5220776, + 6.6581669 0 -5.3802609, + 6.6581669 0 -5.0761666, + 7.2159085 0 -5.0761666, + 7.4088778 0 -5.2790141, + 7.2603745 0 -5.0810332, + 7.3025041 0 -5.0960679, + 7.3400044 0 -5.1204538, + 7.3708358 0 -5.152863, + 7.3933206 0 -5.1915331, + 7.4062352 0 -5.2343602, + 7.3986473 0 -6.0085588, + 5.8614554 0 -7.2793155, + 7.298327 0 -6.35639, + 7.1183019 0 -6.6704626, + 6.8688636 0 -6.9328203, + 6.5642743 0 -7.1284633, + 6.2219472 0 -7.2462053, + -6.0905166 0 -7.2757363, + -7.5817084 0 -6.0089111, + -6.4421144 0 -7.2346239, + -6.7744594 0 -7.1127319, + -7.069263 0 -6.9167671, + -7.3103004 0 -6.6575141, + -7.4843073 0 -6.3492408, + -7.5915861 0 -5.2790141, + -7.3989697 0 -5.0761666, + -7.5890694 0 -5.234364, + -7.576251 0 -5.1915197, + -7.5538316 0 -5.1528249, + -7.5230374 0 -5.1203952, + -7.4855533 0 -5.0960054, + -7.4434295 0 -5.0809884, + -6.8408751 0 -5.0761666, + -6.8408751 0 -5.3802609, + -6.9932752 0 -5.5220776, + -6.9932752 0 -5.9171891, + -6.9701371 0 -6.0643554, + -6.9808393 0 -6.0158014, + -6.9885616 0 -5.9666848, + -6.9629359 0 -6.1101584, + -6.9265375 0 -6.1916218, + -6.9215274 0 -6.2028351, + -6.9232163 0 -6.1991057, + -6.9248862 0 -6.1953678, + -6.8814445 0 -6.2925444, + -6.8205905 0 -6.3685679, + -6.8117452 0 -6.3796182, + -6.8147149 0 -6.3759518, + -6.8176632 0 -6.3722682, + -6.7597361 0 -6.4445915, + -6.7285028 0 -6.4675446, + -6.2429166 0 -6.6774249, + -6.4189916 0 -6.6439877, + -6.5835042 0 -6.5728817, + 6.0595026 0 -6.6774249, + 6.5661478 0 -6.4525685, + 6.4165516 0 -6.5667515, + 6.2445383 0 -6.6430936, + 6.5770278 0 -6.4445915, + 6.589469 0 -6.429049, + 6.6754875 0 -6.3215885, + 6.6488748 0 -6.359026, + 6.6201706 0 -6.3948851, + 6.6987362 0 -6.2925444, + 6.7146974 0 -6.2565098, + 6.7655811 0 -6.1416326, + 6.7506218 0 -6.1807909, + 6.7336454 0 -6.2191181, + 6.7795224 0 -6.1101584, + 6.7839518 0 -6.0823092, + 6.8052859 0 -5.9727921, + 6.7965178 0 -6.0279207, + 5.1969366 0 1.5556406, + 5.1609392 0 1.6415906, + 5.187789 0 1.5923916, + 5.1609392 0 1.6415906, + 5.1267581 0 1.7042222, + 5.1230364 0 1.7262962, + 5.1230364 0 1.7262962, + 5.1256418 0 1.9406461, + 5.1057281 0 1.7901448, + 5.1035089 0 1.8531554, + 5.1106505 0 1.8952379, + 5.106297 0 1.8255777, + 5.1256418 0 1.9406461, + 5.1267581 0 1.9472861, + 5.1333461 0 1.9582533, + 5.1333461 0 1.9582533, + 5.1874371 0 2.048532, + 5.2033286 0 2.1192229, + 5.187789 0 2.0488861, + 5.2033286 0 2.1192229, + 5.2078977 0 2.1399031, + 5.2078977 0 2.1395485, + 6.6581669 0 -5.0761666, + 6.6581669 -0.80000001 -5.0761666, + 6.6581669 0 -5.3802609, + 6.6581669 0.40000001 -5.3802609, + 6.6581669 0.40000001 5.8190222, + 6.6581669 0 5.8190222, + 6.6581669 0 5.5251584, + 6.6581669 -0.80000001 5.5251584, + 6.6581669 -0.80000001 -1.6401111, + 6.6581669 0 -1.6401111, + 6.6581669 0 -4.9138889, + 6.6581669 -0.80000001 -4.9138889, + 6.7839518 0 -6.0823092, + 6.7839518 -0.80000001 -6.0823092, + 6.7795224 0 -6.1101584, + 6.7795224 0.40000001 -6.1101584, + 6.810214 0.40000001 -5.9171891, + 6.810214 0 -5.9171891, + 6.810214 -0.80000001 -5.9171891, + 6.7655811 -0.80000001 -6.1416326, + 6.7655811 0 -6.1416326, + 6.7146974 -0.80000001 -6.2565098, + 6.7146974 0 -6.2565098, + 6.6987362 0 -6.2925444, + 6.6987362 0.40000001 -6.2925444, + 6.7795224 0.40000001 -6.1101584, + 6.7795224 0 -6.1101584, + 6.6754875 -0.80000001 -6.3215885, + 6.6754875 0 -6.3215885, + 6.589469 -0.80000001 -6.429049, + 6.589469 0 -6.429049, + 6.5770278 0 -6.4445915, + 6.5770278 0.40000001 -6.4445915, + 6.6987362 0.40000001 -6.2925444, + 6.6987362 0 -6.2925444, + 6.5661478 -0.80000001 -6.4525685, + 6.5661478 0 -6.4525685, + 6.4249806 -0.80000001 -6.5560694, + 6.4249806 0.40000001 -6.5560694, + 6.5770278 0.40000001 -6.4445915, + 6.5770278 0 -6.4445915, + -6.7285028 0 -6.4675446, + -6.7285028 -0.80000001 -6.4675446, + -6.7597361 0 -6.4445915, + -6.7597361 0.40000001 -6.4445915, + -6.6080418 0.40000001 -6.5560694, + -6.6080418 -0.80000001 -6.5560694, + -6.8117452 -0.80000001 -6.3796182, + -6.8117452 0 -6.3796182, + -6.8205905 -0.80000001 -6.3685679, + -6.8205905 0 -6.3685679, + -6.8814445 0 -6.2925444, + -6.8814445 0.40000001 -6.2925444, + -6.7597361 0.40000001 -6.4445915, + -6.7597361 0 -6.4445915, + -6.9215274 -0.80000001 -6.2028351, + -6.9215274 0 -6.2028351, + -6.9265375 -0.80000001 -6.1916218, + -6.9265375 0 -6.1916218, + -6.9629359 0 -6.1101584, + -6.9629359 0.40000001 -6.1101584, + -6.8814445 0.40000001 -6.2925444, + -6.8814445 0 -6.2925444, + -6.9701371 -0.80000001 -6.0643554, + -6.9701371 0 -6.0643554, + -6.9932752 -0.80000001 -5.9171891, + -6.9932752 0 -5.9171891, + -6.9932752 0.40000001 -5.9171891, + -6.9629359 0.40000001 -6.1101584, + -6.9629359 0 -6.1101584, + -6.8408751 0 -5.0761666, + -6.8408751 -0.80000001 -5.0761666, + -6.8408751 -0.80000001 2.0891027, + -6.8408751 0 2.0891027, + -6.8408751 0 5.3628807, + -6.8408751 -0.80000001 5.3628807, + -6.8408751 -0.80000001 5.5251584, + -6.8408751 0 5.5251584, + -6.8408751 0 5.8190222, + -6.8408751 0.40000001 5.8190222, + -6.8408751 0.40000001 -5.3802609, + -6.8408751 0 -5.3802609, + -6.9663692 0 6.5370007, + -6.9663692 -0.80000001 6.5370007, + -6.9629359 0 6.5587974, + -6.9629359 0.40000001 6.5587974, + -6.9932752 0.40000001 6.3661804, + -6.9932752 0 6.3661804, + -6.9932752 -0.80000001 6.3661804, + -6.9545608 -0.80000001 6.5764909, + -6.9545608 0 6.5764909, + -6.8814445 -0.80000001 6.7309527, + -6.8814445 0.40000001 6.7309527, + -6.9629359 0.40000001 6.5587974, + -6.9629359 0 6.5587974, + 6.2433858 0 7.0872927, + 6.2433858 -0.80000001 7.0872927, + 6.2524724 0 7.0858474, + 6.2524724 0.40000001 7.0858474, + 6.0595026 0.40000001 7.116539, + 6.0595026 0 7.116539, + 6.0595026 -0.80000001 7.116539, + 6.2597265 -0.80000001 7.0824499, + 6.2597265 0 7.0824499, + 6.4249806 -0.80000001 7.0050611, + 6.4249806 0.40000001 7.0050611, + 6.2524724 0.40000001 7.0858474, + 6.2524724 0 7.0858474, + 6.7761669 0 6.565948, + 6.7761669 -0.80000001 6.565948, + 6.7795224 0 6.5587974, + 6.7795224 0.40000001 6.5587974, + 6.6987362 0.40000001 6.7309527, + 6.6987362 -0.80000001 6.7309527, + 6.7809606 -0.80000001 6.5497704, + 6.7809606 0 6.5497704, + 6.810214 -0.80000001 6.3661804, + 6.810214 0 6.3661804, + 6.810214 0.40000001 6.3661804, + 6.7795224 0.40000001 6.5587974, + 6.7795224 0 6.5587974, + -3.159286 -0.80000001 -2.1470528, + -3.159286 0.40000001 -2.1470528, + -3.0785 -0.80000001 -2.1777444, + -3.0785 0.40000001 -2.1777444, + -3.0785 -0.80000001 -2.1777444, + -3.0785 0.40000001 -2.1777444, + -3.0781472 -0.80000001 -2.1777444, + -3.0781472 0.40000001 -2.1777444, + -3.0781472 0.40000001 -2.1777444, + -3.0781472 -0.80000001 -2.1777444, + -3.159286 -0.80000001 -2.1470528, + -3.159286 0.40000001 -2.1470528, + 5.2078972 -0.80000001 1.5114586, + 5.2078972 0.40000001 1.5116055, + 5.2077026 -0.80000001 1.5123882, + 5.2077026 0 1.5123882, + 5.2077026 0.40000001 1.5123882, + 5.2077026 0 1.5123882, + 5.2077026 -0.80000001 1.5123882, + 5.2078972 -0.80000001 1.5114586, + 5.2078972 0.40000001 1.5116055, + 5.2077026 0.40000001 1.5123882, + 6.6581669 0 -1.6401111, + 7.1651082 0 -1.6401111, + 6.6581669 -0.80000001 -1.6401111, + 7.1651082 -0.80000001 -1.6401111, + 7.1651082 0 -1.6401111, + 7.1651082 0 -4.9138889, + 7.1651082 -0.80000001 -1.6401111, + 7.1651082 -0.80000001 -4.9138889, + 7.1651082 0 -4.9138889, + 6.6581669 0 -4.9138889, + 7.1651082 -0.80000001 -4.9138889, + 6.6581669 -0.80000001 -4.9138889, + 6.4249806 -0.80000001 -6.5560694, + 6.4249806 0.40000001 -6.5560694, + 6.2524724 -0.80000001 -6.6368556, + 6.2524724 0.40000001 -6.6368556, + 6.2524724 -0.80000001 -6.6368556, + 6.2524724 0.40000001 -6.6368556, + 6.0595026 -0.80000001 -6.6774249, + 6.0595026 0 -6.6774249, + 6.0595026 0.40000001 -6.6774249, + -6.2429166 0 -6.6774249, + -6.2429166 -0.80000001 -6.6774249, + -6.4355335 -0.80000001 -6.6368556, + -6.4355335 0.40000001 -6.6368556, + -6.2429166 0.40000001 -6.6774249, + -6.4355335 -0.80000001 -6.6368556, + -6.4355335 0.40000001 -6.6368556, + -6.6080418 -0.80000001 -6.5560694, + -6.6080418 0.40000001 -6.5560694, + -6.8408751 0 2.0891027, + -7.3478165 0 2.0891027, + -6.8408751 -0.80000001 2.0891027, + -7.3478165 -0.80000001 2.0891027, + -7.3478165 0 2.0891027, + -7.3478165 0 5.3526502, + -7.3478165 -0.80000001 2.0891027, + -7.3478165 -0.80000001 5.3526502, + -7.3478165 0 5.3526502, + -6.8408751 0 5.3628807, + -7.3478165 -0.80000001 5.3526502, + -6.8408751 -0.80000001 5.3628807, + -6.8814445 -0.80000001 6.7309527, + -6.8814445 0.40000001 6.7309527, + -6.7597361 -0.80000001 6.8932304, + -6.7597361 0 6.8932304, + -6.7597361 0.40000001 6.8932304, + -6.7597361 0 6.8932304, + -6.7597361 -0.80000001 6.8932304, + -6.6080418 -0.80000001 7.0050611, + -6.6080418 0.40000001 7.0050611, + -6.7597361 0.40000001 6.8932304, + -6.6080418 -0.80000001 7.0050611, + -6.6080418 0.40000001 7.0050611, + -6.4355335 -0.80000001 7.0858474, + -6.4355335 0.40000001 7.0858474, + -6.4355335 -0.80000001 7.0858474, + -6.4355335 0.40000001 7.0858474, + -6.2429166 -0.80000001 7.116539, + -6.2429166 0.40000001 7.116539, + -6.2429166 -0.80000001 7.116539, + -6.2429166 0.40000001 7.116539, + -6.1917639 -0.80000001 7.1264167, + -6.1917639 0 7.1264167, + -6.1917639 0.40000001 7.1264167, + 6.4249806 -0.80000001 7.0050611, + 6.4249806 0.40000001 7.0050611, + 6.5770278 -0.80000001 6.8932304, + 6.5770278 0 6.8932304, + 6.5770278 0.40000001 6.8932304, + 6.5770278 0 6.8932304, + 6.5770278 -0.80000001 6.8932304, + 6.6987362 -0.80000001 6.7309527, + 6.6987362 0.40000001 6.7309527, + 6.5770278 0.40000001 6.8932304, + -6.7597361 -0.80000001 6.8932304, + -6.1917639 -0.80000001 7.1264167, + -6.6925573 -0.80000001 6.9530969, + -6.6190681 -0.80000001 7.0050225, + -6.5402026 -0.80000001 7.0483484, + -6.4569616 -0.80000001 7.0825233, + -6.3704042 -0.80000001 7.1071138, + -6.2816286 -0.80000001 7.1218071, + -6.1917639 0 7.1264167, + -6.7597361 0 6.8932304, + -6.6379824 0 6.9928236, + -6.499064 0 7.0666094, + -6.3483744 0 7.1117244, + -6.9545608 -0.80000001 6.5764909, + -6.7597361 -0.80000001 6.8932304, + -6.9202013 -0.80000001 6.6637421, + -6.8758478 -0.80000001 6.7463627, + -6.8221126 -0.80000001 6.8232121, + -6.7597361 0 6.8932304, + -6.9545608 0 6.5764909, + -6.9064999 0 6.6918545, + -6.8410234 0 6.7983041, + 6.7809606 -0.80000001 6.5497704, + 6.810214 -0.80000001 6.3661804, + 6.7955728 -0.80000001 6.4894142, + 6.8053441 -0.80000001 6.4280887, + 6.810214 0 6.3661804, + 6.7809606 0 6.5497704, + 6.7955728 0 6.4894142, + 6.8053441 0 6.4280887, + 6.5770278 -0.80000001 6.8932304, + 6.7761669 -0.80000001 6.565948, + 6.6416893 -0.80000001 6.8213272, + 6.6969714 -0.80000001 6.7419858, + 6.7420273 -0.80000001 6.6564226, + 6.7761669 0 6.565948, + 6.5770278 0 6.8932304, + 6.6612034 0 6.7956486, + 6.7281909 0 6.6855559, + 6.2597265 -0.80000001 7.0824499, + 6.5770278 -0.80000001 6.8932304, + 6.3469834 -0.80000001 7.0497375, + 6.4297237 -0.80000001 7.0068674, + 6.5067706 -0.80000001 6.9544497, + 6.5770278 0 6.8932304, + 6.2597265 0 7.0824499, + 6.3751249 0 7.0365486, + 6.4817905 0 6.9729395, + -7.3989697 0 5.5251584, + -7.5915861 0 5.7280054, + -7.4429989 0 5.5309176, + -7.484601 0 5.5464406, + -7.5216413 0 5.570931, + -7.5522175 0 5.6031313, + -7.57476 0 5.6413879, + -7.5881109 0 5.6837373, + -7.3989697 -0.80000001 5.5251584, + -7.5915861 -0.80000001 5.7280054, + -7.5881109 -0.80000001 5.6837373, + -7.57476 -0.80000001 5.6413879, + -7.5522175 -0.80000001 5.6031313, + -7.5216413 -0.80000001 5.570931, + -7.484601 -0.80000001 5.5464406, + -7.4429989 -0.80000001 5.5309176, + -7.5915861 0 5.7280054, + -7.5915861 0 6.2243648, + -7.5915861 -0.80000001 5.7280054, + -7.5915861 -0.80000001 6.2243648, + -7.5915861 0 6.2243648, + -6.0905166 0 7.7243748, + -7.5537491 0 6.5582099, + -7.4426055 0 6.8752766, + -7.2637229 0 7.1596799, + -7.0260634 0 7.397172, + -6.7415338 0 7.5758538, + -6.4243884 0 7.6867738, + -7.5915861 -0.80000001 6.2243648, + -6.0905166 -0.80000001 7.7243748, + -6.2212849 -0.80000001 7.718668, + -6.351059 -0.80000001 7.701591, + -6.4788518 -0.80000001 7.6732731, + -6.6036916 -0.80000001 7.6339297, + -6.7246294 -0.80000001 7.5838609, + -6.8407459 -0.80000001 7.5234461, + -6.9511576 -0.80000001 7.453146, + -7.0550251 -0.80000001 7.3734941, + -7.1515589 -0.80000001 7.2850962, + -7.2400246 -0.80000001 7.1886249, + -7.3197498 -0.80000001 7.0848136, + -7.3901281 -0.80000001 6.9744515, + -7.4506245 -0.80000001 6.8583779, + -7.5007787 -0.80000001 6.7374754, + -7.5402098 -0.80000001 6.6126633, + -7.5686178 -0.80000001 6.4848905, + -7.5857868 -0.80000001 6.3551288, + 5.9078083 0 7.7243748, + -6.0905166 0 7.7243748, + -6.0905166 -0.80000001 7.7243748, + 5.9078083 -0.80000001 7.7243748, + 5.9078083 0 7.7243748, + 7.3982935 0 6.4571977, + 6.2592416 0 7.6829143, + 6.5913782 0 7.5608091, + 6.8859806 0 7.3647642, + 7.1268725 0 7.1055436, + 7.3008275 0 6.7973814, + 5.9078083 -0.80000001 7.7243748, + 7.3982935 -0.80000001 6.4571977, + 7.3711429 -0.80000001 6.5873604, + 7.3326488 -0.80000001 6.7146301, + 7.2831092 -0.80000001 6.8380208, + 7.2229075 -0.80000001 6.9565754, + 7.1525116 -0.80000001 7.069375, + 7.0724664 -0.80000001 7.1755457, + 6.9833922 -0.80000001 7.2742639, + 6.8859806 -0.80000001 7.3647642, + 6.7809858 -0.80000001 7.4463453, + 6.6692219 -0.80000001 7.5183749, + 6.5515556 -0.80000001 7.5802946, + 6.4288988 -0.80000001 7.6316237, + 6.3022022 -0.80000001 7.6719656, + 6.1724486 -0.80000001 7.7010064, + 6.0406432 -0.80000001 7.7185216, + 7.4088778 0 5.7280054, + 7.3982935 0 6.4571977, + 7.3982935 -0.80000001 6.4571977, + 7.4088778 -0.80000001 5.7280054, + 7.4088778 0 5.7280054, + 7.2159085 0 5.5251584, + 7.4063439 0 5.6833091, + 7.3934898 0 5.6404262, + 7.3710184 0 5.601706, + 7.3401623 0 5.5692701, + 7.3026114 0 5.5448956, + 7.2604227 0 5.5299182, + 7.4088778 -0.80000001 5.7280054, + 7.2159085 -0.80000001 5.5251584, + 7.2604227 -0.80000001 5.5299182, + 7.3026114 -0.80000001 5.5448956, + 7.3401623 -0.80000001 5.5692701, + 7.3710184 -0.80000001 5.601706, + 7.3934898 -0.80000001 5.6404262, + 7.4063439 -0.80000001 5.6833091, + 6.6581669 0 5.5251584, + 7.2159085 0 5.5251584, + 7.2159085 -0.80000001 5.5251584, + 6.6581669 -0.80000001 5.5251584, + 6.0595026 0 7.116539, + 6.2433858 0 7.0872927, + 6.1215096 0 7.1116872, + 6.1829333 0 7.1019173, + 6.0595026 -0.80000001 7.116539, + 6.2433858 -0.80000001 7.0872927, + 6.1215096 -0.80000001 7.1116872, + 6.1829333 -0.80000001 7.1019173, + -6.9932752 0 6.3661804, + -6.9663692 0 6.5370007, + -6.9884372 0 6.4237204, + -6.9794531 0 6.4807601, + -6.9932752 -0.80000001 6.3661804, + -6.9663692 -0.80000001 6.5370007, + -6.9884372 -0.80000001 6.4237204, + -6.9794531 -0.80000001 6.4807601, + -7.3989697 0 5.5251584, + -6.8408751 0 5.5251584, + -6.8408751 -0.80000001 5.5251584, + -7.3989697 -0.80000001 5.5251584, + 6.5661478 -0.80000001 -6.4525685, + 6.0595026 -0.80000001 -6.6774249, + 6.4946971 -0.80000001 -6.5140452, + 6.4165516 -0.80000001 -6.5667515, + 6.3327827 -0.80000001 -6.6099648, + 6.2445383 -0.80000001 -6.6430936, + 6.1530271 -0.80000001 -6.6656833, + 6.0595026 0 -6.6774249, + 6.5661478 0 -6.4525685, + 6.4165516 0 -6.5667515, + 6.2445383 0 -6.6430936, + 6.6754875 -0.80000001 -6.3215885, + 6.589469 -0.80000001 -6.429049, + 6.6488748 -0.80000001 -6.359026, + 6.6201706 -0.80000001 -6.3948851, + 6.589469 0 -6.429049, + 6.6754875 0 -6.3215885, + 6.6488748 0 -6.359026, + 6.6201706 0 -6.3948851, + 6.7655811 -0.80000001 -6.1416326, + 6.7146974 -0.80000001 -6.2565098, + 6.7506218 -0.80000001 -6.1807909, + 6.7336454 -0.80000001 -6.2191181, + 6.7146974 0 -6.2565098, + 6.7655811 0 -6.1416326, + 6.7506218 0 -6.1807909, + 6.7336454 0 -6.2191181, + 6.6581669 -0.80000001 -5.0761666, + 6.6581669 -0.80000001 -4.9138889, + 7.1651082 -0.80000001 -4.9138889, + 7.1651082 -0.80000001 -1.6401111, + 6.6581669 -0.80000001 -1.6401111, + 6.6581669 -0.80000001 5.5251584, + 7.2159085 -0.80000001 5.5251584, + 7.4088778 -0.80000001 5.7280054, + 7.2604227 -0.80000001 5.5299182, + 7.3026114 -0.80000001 5.5448956, + 7.3401623 -0.80000001 5.5692701, + 7.3710184 -0.80000001 5.601706, + 7.3934898 -0.80000001 5.6404262, + 7.4063439 -0.80000001 5.6833091, + 7.3982935 -0.80000001 6.4571977, + 5.9078083 -0.80000001 7.7243748, + 7.3711429 -0.80000001 6.5873604, + 7.3326488 -0.80000001 6.7146301, + 7.2831092 -0.80000001 6.8380208, + 7.2229075 -0.80000001 6.9565754, + 7.1525116 -0.80000001 7.069375, + 7.0724664 -0.80000001 7.1755457, + 6.9833922 -0.80000001 7.2742639, + 6.8859806 -0.80000001 7.3647642, + 6.7809858 -0.80000001 7.4463453, + 6.6692219 -0.80000001 7.5183749, + 6.5515556 -0.80000001 7.5802946, + 6.4288988 -0.80000001 7.6316237, + 6.3022022 -0.80000001 7.6719656, + 6.1724486 -0.80000001 7.7010064, + 6.0406432 -0.80000001 7.7185216, + -6.0905166 -0.80000001 7.7243748, + -7.5915861 -0.80000001 6.2243648, + -6.2212849 -0.80000001 7.718668, + -6.351059 -0.80000001 7.701591, + -6.4788518 -0.80000001 7.6732731, + -6.6036916 -0.80000001 7.6339297, + -6.7246294 -0.80000001 7.5838609, + -6.8407459 -0.80000001 7.5234461, + -6.9511576 -0.80000001 7.453146, + -7.0550251 -0.80000001 7.3734941, + -7.1515589 -0.80000001 7.2850962, + -7.2400246 -0.80000001 7.1886249, + -7.3197498 -0.80000001 7.0848136, + -7.3901281 -0.80000001 6.9744515, + -7.4506245 -0.80000001 6.8583779, + -7.5007787 -0.80000001 6.7374754, + -7.5402098 -0.80000001 6.6126633, + -7.5686178 -0.80000001 6.4848905, + -7.5857868 -0.80000001 6.3551288, + -7.5915861 -0.80000001 5.7280054, + -7.3989697 -0.80000001 5.5251584, + -7.5881109 -0.80000001 5.6837373, + -7.57476 -0.80000001 5.6413879, + -7.5522175 -0.80000001 5.6031313, + -7.5216413 -0.80000001 5.570931, + -7.484601 -0.80000001 5.5464406, + -7.4429989 -0.80000001 5.5309176, + -6.8408751 -0.80000001 5.5251584, + -6.8408751 -0.80000001 5.3628807, + -7.3478165 -0.80000001 5.3526502, + -7.3478165 -0.80000001 2.0891027, + -6.8408751 -0.80000001 2.0891027, + -6.8408751 -0.80000001 -5.0761666, + -7.3989697 -0.80000001 -5.0761666, + -7.5915861 -0.80000001 -5.2790141, + -7.4434295 -0.80000001 -5.0809884, + -7.4855533 -0.80000001 -5.0960054, + -7.5230374 -0.80000001 -5.1203952, + -7.5538316 -0.80000001 -5.1528249, + -7.576251 -0.80000001 -5.1915197, + -7.5890694 -0.80000001 -5.234364, + -7.5817084 -0.80000001 -6.0089111, + -6.0905166 -0.80000001 -7.2757363, + -7.5545969 -0.80000001 -6.1391296, + -7.5161242 -0.80000001 -6.2664542, + -7.4665885 -0.80000001 -6.3898969, + -7.4063749 -0.80000001 -6.5084977, + -7.3359513 -0.80000001 -6.6213355, + -7.2558651 -0.80000001 -6.7275333, + -7.166738 -0.80000001 -6.8262663, + -7.069263 -0.80000001 -6.9167671, + -6.9641967 -0.80000001 -6.9983325, + -6.8523564 -0.80000001 -7.0703292, + -6.7346106 -0.80000001 -7.1321979, + -6.6118736 -0.80000001 -7.1834574, + -6.4850998 -0.80000001 -7.2237096, + -6.3552742 -0.80000001 -7.2526417, + -6.2234049 -0.80000001 -7.2700286, + 5.8614554 -0.80000001 -7.2793155, + 7.3986473 -0.80000001 -6.0085588, + 5.9894791 -0.80000001 -7.2775168, + 6.1168928 -0.80000001 -7.2649083, + 6.2427855 -0.80000001 -7.2415786, + 6.3662572 -0.80000001 -7.2076955, + 6.486424 -0.80000001 -7.1635013, + 6.6024275 -0.80000001 -7.1093116, + 6.7134371 -0.80000001 -7.0455146, + 6.8186598 -0.80000001 -6.9725661, + 6.9173422 -0.80000001 -6.8909874, + 7.008779 -0.80000001 -6.801363, + 7.0923166 -0.80000001 -6.7043333, + 7.1673565 -0.80000001 -6.6005921, + 7.2333632 -0.80000001 -6.4908814, + 7.2898641 -0.80000001 -6.3759861, + 7.3364549 -0.80000001 -6.2567277, + 7.3728027 -0.80000001 -6.1339593, + 7.4088778 -0.80000001 -5.2790141, + 7.2159085 -0.80000001 -5.0761666, + 7.4062352 -0.80000001 -5.2343602, + 7.3933206 -0.80000001 -5.1915331, + 7.3708358 -0.80000001 -5.152863, + 7.3400044 -0.80000001 -5.1204538, + 7.3025041 -0.80000001 -5.0960679, + 7.2603745 -0.80000001 -5.0810332, + 6.810214 -0.80000001 -5.9171891, + 6.7839518 -0.80000001 -6.0823092, + 6.8052859 -0.80000001 -5.9727921, + 6.7965178 -0.80000001 -6.0279207, + 6.7655811 -0.80000001 -6.1416326, + 6.7146974 -0.80000001 -6.2565098, + 6.7506218 -0.80000001 -6.1807909, + 6.7336454 -0.80000001 -6.2191181, + 6.6754875 -0.80000001 -6.3215885, + 6.589469 -0.80000001 -6.429049, + 6.6488748 -0.80000001 -6.359026, + 6.6201706 -0.80000001 -6.3948851, + 6.5661478 -0.80000001 -6.4525685, + 6.0595026 -0.80000001 -6.6774249, + 6.4946971 -0.80000001 -6.5140452, + 6.4165516 -0.80000001 -6.5667515, + 6.3327827 -0.80000001 -6.6099648, + 6.2445383 -0.80000001 -6.6430936, + 6.1530271 -0.80000001 -6.6656833, + 6.2524724 -0.80000001 -6.6368556, + 6.4249806 -0.80000001 -6.5560694, + -6.2429166 -0.80000001 -6.6774249, + -6.7285028 -0.80000001 -6.4675446, + -6.3318758 -0.80000001 -6.6655598, + -6.4189916 -0.80000001 -6.6439877, + -6.5032082 -0.80000001 -6.6129694, + -6.5835042 -0.80000001 -6.5728817, + -6.6589069 -0.80000001 -6.52421, + -6.6080418 -0.80000001 -6.5560694, + -6.4355335 -0.80000001 -6.6368556, + -6.8117452 -0.80000001 -6.3796182, + -6.8205905 -0.80000001 -6.3685679, + -6.8147149 -0.80000001 -6.3759518, + -6.8176632 -0.80000001 -6.3722682, + -6.9215274 -0.80000001 -6.2028351, + -6.9265375 -0.80000001 -6.1916218, + -6.9232163 -0.80000001 -6.1991057, + -6.9248862 -0.80000001 -6.1953678, + -6.9701371 -0.80000001 -6.0643554, + -6.9932752 -0.80000001 -5.9171891, + -6.9808393 -0.80000001 -6.0158014, + -6.9885616 -0.80000001 -5.9666848, + 5.2078972 -0.80000001 1.5114586, + 5.2077026 -0.80000001 1.5123882, + -3.159286 -0.80000001 -2.1470528, + -3.0781472 -0.80000001 -2.1777444, + -3.0785 -0.80000001 -2.1777444, + 6.7809606 -0.80000001 6.5497704, + 6.810214 -0.80000001 6.3661804, + 6.7955728 -0.80000001 6.4894142, + 6.8053441 -0.80000001 6.4280887, + 6.5770278 -0.80000001 6.8932304, + 6.4249806 -0.80000001 7.0050611, + 6.2597265 -0.80000001 7.0824499, + 6.3469834 -0.80000001 7.0497375, + 6.4297237 -0.80000001 7.0068674, + 6.5067706 -0.80000001 6.9544497, + 6.6987362 -0.80000001 6.7309527, + 6.7761669 -0.80000001 6.565948, + 6.6416893 -0.80000001 6.8213272, + 6.6969714 -0.80000001 6.7419858, + 6.7420273 -0.80000001 6.6564226, + 6.0595026 -0.80000001 7.116539, + 6.2433858 -0.80000001 7.0872927, + 6.1215096 -0.80000001 7.1116872, + 6.1829333 -0.80000001 7.1019173, + -6.7597361 -0.80000001 6.8932304, + -6.8814445 -0.80000001 6.7309527, + -6.9545608 -0.80000001 6.5764909, + -6.9202013 -0.80000001 6.6637421, + -6.8758478 -0.80000001 6.7463627, + -6.8221126 -0.80000001 6.8232121, + -6.6080418 -0.80000001 7.0050611, + -6.4355335 -0.80000001 7.0858474, + -6.2429166 -0.80000001 7.116539, + -6.1917639 -0.80000001 7.1264167, + -6.6925573 -0.80000001 6.9530969, + -6.6190681 -0.80000001 7.0050225, + -6.5402026 -0.80000001 7.0483484, + -6.4569616 -0.80000001 7.0825233, + -6.3704042 -0.80000001 7.1071138, + -6.2816286 -0.80000001 7.1218071, + -6.9932752 -0.80000001 6.3661804, + -6.9663692 -0.80000001 6.5370007, + -6.9884372 -0.80000001 6.4237204, + -6.9794531 -0.80000001 6.4807601, + -6.9701371 -0.80000001 -6.0643554, + -6.9932752 -0.80000001 -5.9171891, + -6.9808393 -0.80000001 -6.0158014, + -6.9885616 -0.80000001 -5.9666848, + -6.9932752 0 -5.9171891, + -6.9701371 0 -6.0643554, + -6.9808393 0 -6.0158014, + -6.9885616 0 -5.9666848, + -6.9215274 -0.80000001 -6.2028351, + -6.9265375 -0.80000001 -6.1916218, + -6.9232163 -0.80000001 -6.1991057, + -6.9248862 -0.80000001 -6.1953678, + -6.9265375 0 -6.1916218, + -6.9215274 0 -6.2028351, + -6.9232163 0 -6.1991057, + -6.9248862 0 -6.1953678, + -6.8117452 -0.80000001 -6.3796182, + -6.8205905 -0.80000001 -6.3685679, + -6.8147149 -0.80000001 -6.3759518, + -6.8176632 -0.80000001 -6.3722682, + -6.8205905 0 -6.3685679, + -6.8117452 0 -6.3796182, + -6.8147149 0 -6.3759518, + -6.8176632 0 -6.3722682, + 6.6581669 0 -5.0761666, + 7.2159085 0 -5.0761666, + 6.6581669 -0.80000001 -5.0761666, + 7.2159085 -0.80000001 -5.0761666, + 7.2159085 0 -5.0761666, + 7.4088778 0 -5.2790141, + 7.2603745 0 -5.0810332, + 7.3025041 0 -5.0960679, + 7.3400044 0 -5.1204538, + 7.3708358 0 -5.152863, + 7.3933206 0 -5.1915331, + 7.4062352 0 -5.2343602, + 7.2159085 -0.80000001 -5.0761666, + 7.4088778 -0.80000001 -5.2790141, + 7.4062352 -0.80000001 -5.2343602, + 7.3933206 -0.80000001 -5.1915331, + 7.3708358 -0.80000001 -5.152863, + 7.3400044 -0.80000001 -5.1204538, + 7.3025041 -0.80000001 -5.0960679, + 7.2603745 -0.80000001 -5.0810332, + 7.3986473 0 -6.0085588, + 7.4088778 0 -5.2790141, + 7.4088778 -0.80000001 -5.2790141, + 7.3986473 -0.80000001 -6.0085588, + 7.3986473 0 -6.0085588, + 5.8614554 0 -7.2793155, + 7.298327 0 -6.35639, + 7.1183019 0 -6.6704626, + 6.8688636 0 -6.9328203, + 6.5642743 0 -7.1284633, + 6.2219472 0 -7.2462053, + 7.3986473 -0.80000001 -6.0085588, + 5.8614554 -0.80000001 -7.2793155, + 5.9894791 -0.80000001 -7.2775168, + 6.1168928 -0.80000001 -7.2649083, + 6.2427855 -0.80000001 -7.2415786, + 6.3662572 -0.80000001 -7.2076955, + 6.486424 -0.80000001 -7.1635013, + 6.6024275 -0.80000001 -7.1093116, + 6.7134371 -0.80000001 -7.0455146, + 6.8186598 -0.80000001 -6.9725661, + 6.9173422 -0.80000001 -6.8909874, + 7.008779 -0.80000001 -6.801363, + 7.0923166 -0.80000001 -6.7043333, + 7.1673565 -0.80000001 -6.6005921, + 7.2333632 -0.80000001 -6.4908814, + 7.2898641 -0.80000001 -6.3759861, + 7.3364549 -0.80000001 -6.2567277, + 7.3728027 -0.80000001 -6.1339593, + 5.8614554 0 -7.2793155, + -6.0905166 0 -7.2757363, + 5.8614554 -0.80000001 -7.2793155, + -6.0905166 -0.80000001 -7.2757363, + -6.0905166 0 -7.2757363, + -7.5817084 0 -6.0089111, + -6.4421144 0 -7.2346239, + -6.7744594 0 -7.1127319, + -7.069263 0 -6.9167671, + -7.3103004 0 -6.6575141, + -7.4843073 0 -6.3492408, + -6.0905166 -0.80000001 -7.2757363, + -7.5817084 -0.80000001 -6.0089111, + -7.5545969 -0.80000001 -6.1391296, + -7.5161242 -0.80000001 -6.2664542, + -7.4665885 -0.80000001 -6.3898969, + -7.4063749 -0.80000001 -6.5084977, + -7.3359513 -0.80000001 -6.6213355, + -7.2558651 -0.80000001 -6.7275333, + -7.166738 -0.80000001 -6.8262663, + -7.069263 -0.80000001 -6.9167671, + -6.9641967 -0.80000001 -6.9983325, + -6.8523564 -0.80000001 -7.0703292, + -6.7346106 -0.80000001 -7.1321979, + -6.6118736 -0.80000001 -7.1834574, + -6.4850998 -0.80000001 -7.2237096, + -6.3552742 -0.80000001 -7.2526417, + -6.2234049 -0.80000001 -7.2700286, + -7.5817084 0 -6.0089111, + -7.5915861 0 -5.2790141, + -7.5817084 -0.80000001 -6.0089111, + -7.5915861 -0.80000001 -5.2790141, + -7.5915861 0 -5.2790141, + -7.3989697 0 -5.0761666, + -7.5890694 0 -5.234364, + -7.576251 0 -5.1915197, + -7.5538316 0 -5.1528249, + -7.5230374 0 -5.1203952, + -7.4855533 0 -5.0960054, + -7.4434295 0 -5.0809884, + -7.5915861 -0.80000001 -5.2790141, + -7.3989697 -0.80000001 -5.0761666, + -7.4434295 -0.80000001 -5.0809884, + -7.4855533 -0.80000001 -5.0960054, + -7.5230374 -0.80000001 -5.1203952, + -7.5538316 -0.80000001 -5.1528249, + -7.576251 -0.80000001 -5.1915197, + -7.5890694 -0.80000001 -5.234364, + -7.3989697 0 -5.0761666, + -6.8408751 0 -5.0761666, + -7.3989697 -0.80000001 -5.0761666, + -6.8408751 -0.80000001 -5.0761666, + -6.2429166 0 -6.6774249, + -6.7285028 0 -6.4675446, + -6.4189916 0 -6.6439877, + -6.5835042 0 -6.5728817, + -6.2429166 -0.80000001 -6.6774249, + -6.7285028 -0.80000001 -6.4675446, + -6.3318758 -0.80000001 -6.6655598, + -6.4189916 -0.80000001 -6.6439877, + -6.5032082 -0.80000001 -6.6129694, + -6.5835042 -0.80000001 -6.5728817, + -6.6589069 -0.80000001 -6.52421, + 6.810214 0 -5.9171891, + 6.7839518 0 -6.0823092, + 6.8052859 0 -5.9727921, + 6.7965178 0 -6.0279207, + 6.810214 -0.80000001 -5.9171891, + 6.7839518 -0.80000001 -6.0823092, + 6.8052859 -0.80000001 -5.9727921, + 6.7965178 -0.80000001 -6.0279207, + -2.3581278 0 -0.77898055, + -2.3376667 0 -0.62693334, + -2.3376667 0 -0.93102777, + -2.3376667 0 -0.93102777, + -2.3581278 0 -0.77898055, + -2.3376667 0.40000001 -0.93102777, + -2.3581278 0.40000001 -0.77898055, + -2.3376667 0 -0.62693334, + -2.3376667 0 -0.93102777, + -2.3376667 0.40000001 -0.62693334, + -2.3376667 0.40000001 -0.93102777, + -2.3581278 0 -0.77898055, + -2.3376667 0 -0.62693334, + -2.3581278 0.40000001 -0.77898055, + -2.3376667 0.40000001 -0.62693334, + -2.3376667 0.40000001 -0.62693334, + -2.3581278 0.40000001 -0.77898055, + -2.3376667 0.40000001 -0.93102777, + 2.1553111 0.40000001 -0.93102777, + 2.1754193 0.40000001 -0.77898055, + 2.1553111 0.40000001 -0.62693334, + 2.1754193 0 -0.77898055, + 2.1553111 0 -0.93102777, + 2.1754193 0.40000001 -0.77898055, + 2.1553111 0.40000001 -0.93102777, + 2.1553111 0 -0.93102777, + 2.1553111 0 -0.62693334, + 2.1553111 0.40000001 -0.93102777, + 2.1553111 0.40000001 -0.62693334, + 2.1553111 0 -0.62693334, + 2.1754193 0 -0.77898055, + 2.1553111 0.40000001 -0.62693334, + 2.1754193 0.40000001 -0.77898055, + 2.1754193 0 -0.77898055, + 2.1553111 0 -0.93102777, + 2.1553111 0 -0.62693334, + 5.2027893 0 -1.0832255, + 5.2078977 0 -1.0626135, + 5.2078977 0 -1.0629672, + 5.2078977 0 -1.0629672, + 5.2027893 0 -1.0832255, + 5.2078977 0.40000001 -1.0629672, + 5.2027893 0.40000001 -1.0832255, + 5.2078977 0 -1.0626135, + 5.2078977 0 -1.0629672, + 5.2078977 0.40000001 -1.0626135, + 5.2078977 0.40000001 -1.0629672, + 5.2027893 0 -1.0832255, + 5.2078977 0 -1.0626135, + 5.2027893 0.40000001 -1.0832255, + 5.2078977 0.40000001 -1.0626135, + 5.2078977 0.40000001 -1.0626135, + 5.2027893 0.40000001 -1.0832255, + 5.2078977 0.40000001 -1.0629672, + 2.9056695 0.40000001 1.7243305, + 2.6114528 0.40000001 1.7243305, + 2.7638528 0.40000001 1.7042222, + 2.6114528 0 1.7243305, + 2.9056695 0 1.7243305, + 2.6114528 0.40000001 1.7243305, + 2.9056695 0.40000001 1.7243305, + 2.9056695 0 1.7243305, + 2.7638528 0 1.7042222, + 2.9056695 0.40000001 1.7243305, + 2.7638528 0.40000001 1.7042222, + 2.7638528 0 1.7042222, + 2.6114528 0 1.7243305, + 2.7638528 0.40000001 1.7042222, + 2.6114528 0.40000001 1.7243305, + 2.6114528 0 1.7243305, + 2.9056695 0 1.7243305, + 2.7638528 0 1.7042222, + -5.3094668 0 -1.2552305, + -5.305532 0 -1.2786353, + -5.349328 0 -1.1824203, + -5.3163776 0 -1.5109577, + -5.3704977 0 -1.6104777, + -5.3909583 0 -1.6912637, + -5.3909583 0 -1.6909111, + -5.3704972 0 -1.6101249, + -5.308188 0 -1.4907111, + -5.3094668 0 -1.4982945, + -5.3163776 0 -1.5109577, + -5.349328 0 -1.1824203, + -5.3704972 0 -1.1437528, + -5.379652 0 -1.1074499, + -5.305532 0 -1.2786353, + -5.308188 0 -1.4907111, + -5.2889409 0 -1.3400886, + -5.286931 0 -1.4019157, + -5.293828 0 -1.4441726, + -5.2890058 0 -1.3769389, + -5.349328 0 -1.1824203, + -5.3094668 0 -1.2552305, + -5.349328 0.40000001 -1.1824203, + -5.3094668 0.40000001 -1.2552305, + -5.379652 0 -1.1074499, + -5.349328 0 -1.1824203, + -5.379652 0.40000001 -1.1074499, + -5.349328 0.40000001 -1.1824203, + -5.3909583 0 -1.0626138, + -5.379652 0 -1.1074499, + -5.3909583 0.40000001 -1.0626138, + -5.379652 0.40000001 -1.1074499, + -5.379652 0 -1.1074499, + -5.3909583 0 -1.0626138, + -5.379652 0.40000001 -1.1074499, + -5.3909583 0.40000001 -1.0626138, + -5.3704972 0 -1.1437528, + -5.379652 0 -1.1074499, + -5.3704972 0.40000001 -1.1437528, + -5.379652 0.40000001 -1.1074499, + -5.349328 0 -1.1824203, + -5.3704972 0 -1.1437528, + -5.349328 0.40000001 -1.1824203, + -5.3704972 0.40000001 -1.1437528, + -5.305532 0 -1.2786353, + -5.349328 0 -1.1824203, + -5.305532 0.40000001 -1.2786353, + -5.349328 0.40000001 -1.1824203, + -5.2890058 0 -1.3769389, + -5.305532 0 -1.2786353, + -5.2890058 0.40000001 -1.3769389, + -5.305532 0.40000001 -1.2786353, + -5.308188 0 -1.4907111, + -5.2890058 0 -1.3769389, + -5.308188 0.40000001 -1.4907111, + -5.2890058 0.40000001 -1.3769389, + -5.3163776 0 -1.5109577, + -5.308188 0 -1.4907111, + -5.3163776 0.40000001 -1.5109577, + -5.308188 0.40000001 -1.4907111, + -5.3704972 0 -1.6101249, + -5.3163776 0 -1.5109577, + -5.3704972 0.40000001 -1.6101249, + -5.3163776 0.40000001 -1.5109577, + -5.3909583 0 -1.6909111, + -5.3704972 0 -1.6101249, + -5.3909583 0.40000001 -1.6909111, + -5.3704972 0.40000001 -1.6101249, + -5.3909583 0 -1.6912637, + -5.3909583 0 -1.6909111, + -5.3909583 0.40000001 -1.6912637, + -5.3909583 0.40000001 -1.6909111, + -5.3704977 0 -1.6104777, + -5.3909583 0 -1.6912637, + -5.3704977 0.40000001 -1.6104777, + -5.3909583 0.40000001 -1.6912637, + -5.3163776 0 -1.5109577, + -5.3704977 0 -1.6104777, + -5.3163776 0.40000001 -1.5109577, + -5.3704977 0.40000001 -1.6104777, + -5.3094668 0 -1.4982945, + -5.3163776 0 -1.5109577, + -5.3094668 0.40000001 -1.4982945, + -5.3163776 0.40000001 -1.5109577, + -5.308188 0 -1.4907111, + -5.3094668 0 -1.4982945, + -5.308188 0.40000001 -1.4907111, + -5.3094668 0.40000001 -1.4982945, + -5.305532 0 -1.2786353, + -5.308188 0 -1.4907111, + -5.2889409 0 -1.3400886, + -5.286931 0 -1.4019157, + -5.293828 0 -1.4441726, + -5.305532 0.40000001 -1.2786353, + -5.308188 0.40000001 -1.4907111, + -5.2876782 0.40000001 -1.4093133, + -5.2880182 0.40000001 -1.3465887, + -5.2948952 0.40000001 -1.3117362, + -5.3094668 0 -1.2552305, + -5.305532 0 -1.2786353, + -5.3094668 0.40000001 -1.2552305, + -5.305532 0.40000001 -1.2786353, + -5.305532 0.40000001 -1.2786353, + -5.3094668 0.40000001 -1.2552305, + -5.349328 0.40000001 -1.1824203, + -5.305532 0.40000001 -1.2786353, + -5.2890058 0.40000001 -1.3769389, + -5.308188 0.40000001 -1.4907111, + -5.2876782 0.40000001 -1.4093133, + -5.2880182 0.40000001 -1.3465887, + -5.2948952 0.40000001 -1.3117362, + -5.308188 0.40000001 -1.4907111, + -5.3163776 0.40000001 -1.5109577, + -5.3094668 0.40000001 -1.4982945, + -5.3163776 0.40000001 -1.5109577, + -5.3704972 0.40000001 -1.6101249, + -5.3909583 0.40000001 -1.6909111, + -5.3909583 0.40000001 -1.6912637, + -5.3704977 0.40000001 -1.6104777, + -5.349328 0.40000001 -1.1824203, + -5.379652 0.40000001 -1.1074499, + -5.3704972 0.40000001 -1.1437528, + -2.7941611 0.40000001 1.7243305, + -3.0883777 0.40000001 1.7243305, + -2.9465611 0.40000001 1.7042222, + -3.0883777 0 1.7243305, + -2.7941611 0 1.7243305, + -3.0883777 0.40000001 1.7243305, + -2.7941611 0.40000001 1.7243305, + -2.7941611 0 1.7243305, + -2.9465611 0 1.7042222, + -2.7941611 0.40000001 1.7243305, + -2.9465611 0.40000001 1.7042222, + -2.9465611 0 1.7042222, + -3.0883777 0 1.7243305, + -2.9465611 0.40000001 1.7042222, + -3.0883777 0.40000001 1.7243305, + -3.0883777 0 1.7243305, + -2.7941611 0 1.7243305, + -2.9465611 0 1.7042222, + -2.6318834 0 3.3358195, + -2.6317186 0 3.3354874, + -2.6424668 0 3.34605, + -2.6317186 0 3.3354874, + -2.6220055 0 3.3259416, + -2.6117749 0 3.3054805, + -2.6117749 0 3.2952499, + -2.6424668 0 3.34605, + -2.6318834 0 3.3358195, + -2.6424668 0.40000001 3.34605, + -2.6318834 0.40000001 3.3358195, + -2.6317186 0 3.3354874, + -2.6424668 0 3.34605, + -2.6317186 0.40000001 3.3354874, + -2.6424668 0.40000001 3.34605, + -2.6117749 0 3.2952499, + -2.6317186 0 3.3354874, + -2.6117749 0.40000001 3.2952499, + -2.6317186 0.40000001 3.3354874, + -2.6117749 0 3.3054805, + -2.6117749 0 3.2952499, + -2.6117749 0.40000001 3.3054805, + -2.6117749 0.40000001 3.2952499, + -2.6220055 0 3.3259416, + -2.6117749 0 3.3054805, + -2.6220055 0.40000001 3.3259416, + -2.6117749 0.40000001 3.3054805, + -2.6317186 0 3.3354874, + -2.6220055 0 3.3259416, + -2.6317186 0.40000001 3.3354874, + -2.6220055 0.40000001 3.3259416, + -2.6318834 0 3.3358195, + -2.6317186 0 3.3354874, + -2.6318834 0.40000001 3.3358195, + -2.6317186 0.40000001 3.3354874, + -2.6317186 0.40000001 3.3354874, + -2.6318834 0.40000001 3.3358195, + -2.6424668 0.40000001 3.34605, + -2.6317186 0.40000001 3.3354874, + -2.6117749 0.40000001 3.2952499, + -2.6117749 0.40000001 3.3054805, + -2.6220055 0.40000001 3.3259416, + 2.1553111 0.40000001 1.075925, + 2.1754193 0.40000001 1.2279723, + 2.1553111 0.40000001 1.3697889, + 2.1754193 0 1.2279723, + 2.1553111 0 1.075925, + 2.1754193 0.40000001 1.2279723, + 2.1553111 0.40000001 1.075925, + 2.1553111 0 1.075925, + 2.1553111 0 1.3697889, + 2.1553111 0.40000001 1.075925, + 2.1553111 0.40000001 1.3697889, + 2.1553111 0 1.3697889, + 2.1754193 0 1.2279723, + 2.1553111 0.40000001 1.3697889, + 2.1754193 0.40000001 1.2279723, + 2.1754193 0 1.2279723, + 2.1553111 0 1.075925, + 2.1553111 0 1.3697889, + -5.3803749 0 2.5046749, + -5.3909583 0 2.4235361, + -5.3909583 0 2.4238889, + -5.3909583 0 2.4238889, + -5.3803749 0 2.5046749, + -5.3909583 0.40000001 2.4238889, + -5.3803749 0.40000001 2.5046749, + -5.3909583 0 2.4235361, + -5.3909583 0 2.4238889, + -5.3909583 0.40000001 2.4235361, + -5.3909583 0.40000001 2.4238889, + -5.3803749 0 2.5046749, + -5.3909583 0 2.4235361, + -5.3803749 0.40000001 2.5046749, + -5.3909583 0.40000001 2.4235361, + -5.3909583 0.40000001 2.4235361, + -5.3803749 0.40000001 2.5046749, + -5.3909583 0.40000001 2.4238889, + 2.9056695 0.40000001 -1.2756916, + 2.7638528 0.40000001 -1.2552305, + 2.6114528 0.40000001 -1.2756916, + 2.7638528 0 -1.2552305, + 2.9056695 0 -1.2756916, + 2.7638528 0.40000001 -1.2552305, + 2.9056695 0.40000001 -1.2756916, + 2.9056695 0 -1.2756916, + 2.6114528 0 -1.2756916, + 2.9056695 0.40000001 -1.2756916, + 2.6114528 0.40000001 -1.2756916, + 2.6114528 0 -1.2756916, + 2.7638528 0 -1.2552305, + 2.6114528 0.40000001 -1.2756916, + 2.7638528 0.40000001 -1.2552305, + 2.7638528 0 -1.2552305, + 2.9056695 0 -1.2756916, + 2.6114528 0 -1.2756916, + -2.6015444 0 3.2649112, + -2.6015444 0 3.2546806, + -2.6117749 0 3.2751417, + -2.6117749 0 3.2853723, + -2.6117749 0 3.2853723, + -2.6015444 0 3.2649112, + -2.6117749 0.40000001 3.2853723, + -2.6015444 0.40000001 3.2649112, + -2.6117749 0 3.2751417, + -2.6117749 0 3.2853723, + -2.6117749 0.40000001 3.2751417, + -2.6117749 0.40000001 3.2853723, + -2.6015444 0 3.2546806, + -2.6117749 0 3.2751417, + -2.6015444 0.40000001 3.2546806, + -2.6117749 0.40000001 3.2751417, + -2.6015444 0 3.2649112, + -2.6015444 0 3.2546806, + -2.6015444 0.40000001 3.2649112, + -2.6015444 0.40000001 3.2546806, + -2.6015444 0.40000001 3.2546806, + -2.6015444 0.40000001 3.2649112, + -2.6117749 0.40000001 3.2853723, + -2.6117749 0.40000001 3.2751417, + -3.0883777 0.40000001 -1.2756916, + -2.7941611 0.40000001 -1.2756916, + -2.9465611 0.40000001 -1.2552305, + -2.7941611 0 -1.2756916, + -3.0883777 0 -1.2756916, + -2.7941611 0.40000001 -1.2756916, + -3.0883777 0.40000001 -1.2756916, + -3.0883777 0 -1.2756916, + -2.9465611 0 -1.2552305, + -3.0883777 0.40000001 -1.2756916, + -2.9465611 0.40000001 -1.2552305, + -2.9465611 0 -1.2552305, + -2.7941611 0 -1.2756916, + -2.9465611 0.40000001 -1.2552305, + -2.7941611 0.40000001 -1.2756916, + -2.7941611 0 -1.2756916, + -3.0883777 0 -1.2756916, + -2.9465611 0 -1.2552305, + 3.5244417 0 2.6267362, + 3.3715565 0 2.5635107, + 3.44295 0 2.5960445, + 2.9814544 0 2.5938292, + 2.9765778 0 2.5960445, + 2.8957918 0 2.6267362, + 2.9765766 0 2.5963976, + 3.0949018 0 2.5441685, + 3.0884082 0 2.5452445, + 3.0765228 0 2.5506434, + 3.3162684 0 2.5427325, + 3.0949018 0 2.5441685, + 3.2551782 0 2.5275397, + 3.1982858 0 2.5240767, + 3.1492293 0 2.5297704, + 3.209764 0 2.525136, + 3.3715565 0 2.5635107, + 3.3314722 0 2.5452445, + 3.3162684 0 2.5427325, + 3.44295 0 2.5960445, + 3.5244417 0 2.6267362, + 3.44295 0.40000001 2.5960445, + 3.5244417 0.40000001 2.6267362, + 3.3715565 0 2.5635107, + 3.44295 0 2.5960445, + 3.3715565 0.40000001 2.5635107, + 3.44295 0.40000001 2.5960445, + 3.3162684 0 2.5427325, + 3.3715565 0 2.5635107, + 3.3162684 0.40000001 2.5427325, + 3.3715565 0.40000001 2.5635107, + 3.209764 0 2.525136, + 3.3162684 0 2.5427325, + 3.209764 0.40000001 2.525136, + 3.3162684 0.40000001 2.5427325, + 3.0949018 0 2.5441685, + 3.209764 0 2.525136, + 3.0949018 0.40000001 2.5441685, + 3.209764 0.40000001 2.525136, + 3.0765228 0 2.5506434, + 3.0949018 0 2.5441685, + 3.0765228 0.40000001 2.5506434, + 3.0949018 0.40000001 2.5441685, + 2.9814544 0 2.5938292, + 3.0765228 0 2.5506434, + 2.9814544 0.40000001 2.5938292, + 3.0765228 0.40000001 2.5506434, + 2.9765766 0 2.5963976, + 2.9814544 0 2.5938292, + 2.9765766 0.40000001 2.5963976, + 2.9814544 0.40000001 2.5938292, + 2.8957918 0 2.6267362, + 2.9765766 0 2.5963976, + 2.8957918 0.40000001 2.6267362, + 2.9765766 0.40000001 2.5963976, + 2.9765778 0 2.5960445, + 2.8957918 0 2.6267362, + 2.9765778 0.40000001 2.5960445, + 2.8957918 0.40000001 2.6267362, + 2.9814544 0 2.5938292, + 2.9765778 0 2.5960445, + 2.9814544 0.40000001 2.5938292, + 2.9765778 0.40000001 2.5960445, + 3.0765228 0 2.5506434, + 2.9814544 0 2.5938292, + 3.0765228 0.40000001 2.5506434, + 2.9814544 0.40000001 2.5938292, + 3.0884082 0 2.5452445, + 3.0765228 0 2.5506434, + 3.0884082 0.40000001 2.5452445, + 3.0765228 0.40000001 2.5506434, + 3.0949018 0 2.5441685, + 3.0884082 0 2.5452445, + 3.0949018 0.40000001 2.5441685, + 3.0884082 0.40000001 2.5452445, + 3.3162684 0 2.5427325, + 3.0949018 0 2.5441685, + 3.2551782 0 2.5275397, + 3.1982858 0 2.5240767, + 3.1492293 0 2.5297704, + 3.3162684 0.40000001 2.5427325, + 3.0949018 0.40000001 2.5441685, + 3.1582866 0.40000001 2.5281537, + 3.2148006 0.40000001 2.5239389, + 3.2660537 0.40000001 2.5294435, + 3.3314722 0 2.5452445, + 3.3162684 0 2.5427325, + 3.3314722 0.40000001 2.5452445, + 3.3162684 0.40000001 2.5427325, + 3.3715565 0 2.5635107, + 3.3314722 0 2.5452445, + 3.3715565 0.40000001 2.5635107, + 3.3314722 0.40000001 2.5452445, + 3.5244417 0 2.6267362, + 3.3715565 0 2.5635107, + 3.5244417 0.40000001 2.6267362, + 3.3715565 0.40000001 2.5635107, + 3.3715565 0.40000001 2.5635107, + 3.5244417 0.40000001 2.6267362, + 3.44295 0.40000001 2.5960445, + 3.3715565 0.40000001 2.5635107, + 3.3162684 0.40000001 2.5427325, + 3.3314722 0.40000001 2.5452445, + 3.3162684 0.40000001 2.5427325, + 3.209764 0.40000001 2.525136, + 3.0949018 0.40000001 2.5441685, + 3.1582866 0.40000001 2.5281537, + 3.2148006 0.40000001 2.5239389, + 3.2660537 0.40000001 2.5294435, + 3.0949018 0.40000001 2.5441685, + 3.0765228 0.40000001 2.5506434, + 3.0884082 0.40000001 2.5452445, + 2.9814544 0.40000001 2.5938292, + 2.9765766 0.40000001 2.5963976, + 2.8957918 0.40000001 2.6267362, + 2.9765778 0.40000001 2.5960445, + 5.2078977 0.40000001 2.4238887, + 5.1976666 0.40000001 2.5046749, + 5.2078977 0.40000001 2.4235361, + 5.1976666 0 2.5046749, + 5.2078977 0 2.4238887, + 5.1976666 0.40000001 2.5046749, + 5.2078977 0.40000001 2.4238887, + 5.2078977 0 2.4238887, + 5.2078977 0 2.4235361, + 5.2078977 0.40000001 2.4238887, + 5.2078977 0.40000001 2.4235361, + 5.2078977 0 2.4235361, + 5.1976666 0 2.5046749, + 5.2078977 0.40000001 2.4235361, + 5.1976666 0.40000001 2.5046749, + 5.1976666 0 2.5046749, + 5.2078977 0 2.4238887, + 5.2078977 0 2.4235361, + -5.3909583 0 1.5112532, + -5.3909583 0 1.5116055, + -5.3704972 0 1.5923916, + -5.3704972 0 1.5923916, + -5.3909583 0 1.5112532, + -5.3704972 0.40000001 1.5923916, + -5.3909583 0.40000001 1.5112532, + -5.3909583 0 1.5116055, + -5.3704972 0 1.5923916, + -5.3909583 0.40000001 1.5116055, + -5.3704972 0.40000001 1.5923916, + -5.3909583 0 1.5112532, + -5.3909583 0 1.5116055, + -5.3909583 0.40000001 1.5112532, + -5.3909583 0.40000001 1.5116055, + -5.3909583 0.40000001 1.5116055, + -5.3909583 0.40000001 1.5112532, + -5.3704972 0.40000001 1.5923916, + 5.1570973 0.40000001 2.5657055, + 5.0861888 0.40000001 2.6062751, + 5.0152807 0.40000001 2.6267362, + 5.0861888 0.40000001 2.6059222, + 5.0861888 0 2.6062751, + 5.1570973 0 2.5657055, + 5.0861888 0.40000001 2.6062751, + 5.1570973 0.40000001 2.5657055, + 5.1570973 0 2.5657055, + 5.0861888 0 2.6059222, + 5.1570973 0.40000001 2.5657055, + 5.0861888 0.40000001 2.6059222, + 5.0861888 0 2.6059222, + 5.0152807 0 2.6267362, + 5.0861888 0.40000001 2.6059222, + 5.0152807 0.40000001 2.6267362, + 5.0152807 0 2.6267362, + 5.0861888 0 2.6062751, + 5.0152807 0.40000001 2.6267362, + 5.0861888 0.40000001 2.6062751, + 5.0861888 0 2.6062751, + 5.1570973 0 2.5657055, + 5.0861888 0 2.6059222, + 5.0152807 0 2.6267362, + -2.7133751 0 3.4067278, + -2.7027917 0 3.3968501, + -2.6826832 0 3.3866193, + -2.7133751 0 3.3968501, + -2.7334833 0 3.4067278, + -2.6826832 0 3.3866193, + -2.662575 0 3.3763888, + -2.6523445 0 3.3661582, + -2.6724527 0 3.3763888, + -2.7334833 0 3.4067278, + -2.7133751 0 3.4067278, + -2.7334833 0.40000001 3.4067278, + -2.7133751 0.40000001 3.4067278, + -2.7133751 0 3.3968501, + -2.7334833 0 3.4067278, + -2.7133751 0.40000001 3.3968501, + -2.7334833 0.40000001 3.4067278, + -2.6826832 0 3.3866193, + -2.7133751 0 3.3968501, + -2.6826832 0.40000001 3.3866193, + -2.7133751 0.40000001 3.3968501, + -2.6724527 0 3.3763888, + -2.6826832 0 3.3866193, + -2.6724527 0.40000001 3.3763888, + -2.6826832 0.40000001 3.3866193, + -2.6523445 0 3.3661582, + -2.6724527 0 3.3763888, + -2.6523445 0.40000001 3.3661582, + -2.6724527 0.40000001 3.3763888, + -2.662575 0 3.3763888, + -2.6523445 0 3.3661582, + -2.662575 0.40000001 3.3763888, + -2.6523445 0.40000001 3.3661582, + -2.6826832 0 3.3866193, + -2.662575 0 3.3763888, + -2.6826832 0.40000001 3.3866193, + -2.662575 0.40000001 3.3763888, + -2.7027917 0 3.3968501, + -2.6826832 0 3.3866193, + -2.7027917 0.40000001 3.3968501, + -2.6826832 0.40000001 3.3866193, + -2.7133751 0 3.4067278, + -2.7027917 0 3.3968501, + -2.7133751 0.40000001 3.4067278, + -2.7027917 0.40000001 3.3968501, + -2.7027917 0.40000001 3.3968501, + -2.7133751 0.40000001 3.4067278, + -2.7334833 0.40000001 3.4067278, + -2.7133751 0.40000001 3.3968501, + -2.6826832 0.40000001 3.3866193, + -2.6826832 0.40000001 3.3866193, + -2.6724527 0.40000001 3.3763888, + -2.6523445 0.40000001 3.3661582, + -2.662575 0.40000001 3.3763888, + 3.3621638 2.5 1.7243305, + 3.3621638 2.5 -1.2756916, + 3.3621638 5 1.7243305, + 3.3621638 5 -1.2756916, + 3.3621638 2.5 -1.2756916, + 2.1553111 2.5 -1.2756916, + 3.3621638 5 -1.2756916, + 2.1553111 5 -1.2756916, + 2.1553111 2.5 -1.2756916, + 2.1553111 2.5 1.7243305, + 2.1553111 5 -1.2756916, + 2.1553111 5 1.7243305, + 2.1553111 2.5 1.7243305, + 3.3621638 2.5 1.7243305, + 2.1553111 5 1.7243305, + 3.3621638 5 1.7243305, + -2.3376667 2.5 1.7243305, + -2.3376667 2.5 -1.2756916, + -2.3376667 5 1.7243305, + -2.3376667 5 -1.2756916, + -2.3376667 2.5 -1.2756916, + -3.5448723 2.5 -1.2756916, + -2.3376667 5 -1.2756916, + -3.5448723 5 -1.2756916, + -3.5448723 2.5 -1.2756916, + -3.5448723 2.5 1.7243305, + -3.5448723 5 -1.2756916, + -3.5448723 5 1.7243305, + -3.5448723 2.5 1.7243305, + -2.3376667 2.5 1.7243305, + -3.5448723 5 1.7243305, + -2.3376667 5 1.7243305, + -5.197989 2.5 -2.1777444, + -3.70715 2.5 -2.1777444, + -5.197989 5 -2.1777444, + -3.70715 5 -2.1777444, + -3.0785 2.5 -2.1777444, + -3.0785 5 -2.1777444, + -3.70715 5 -2.1777444, + -3.1753979 5 -2.1221287, + -3.2817068 5 -2.0877662, + -3.3928249 5 -2.0761445, + -3.5039432 5 -2.0877662, + -3.6102519 5 -2.1221287, + -3.70715 2.5 -2.1777444, + -3.6102519 2.5 -2.1221287, + -3.5039432 2.5 -2.0877662, + -3.3928249 2.5 -2.0761445, + -3.2817068 2.5 -2.0877662, + -3.1753979 2.5 -2.1221287, + -3.0785 2.5 -2.1777444, + 2.8957918 2.5 -2.1777444, + -3.0785 5 -2.1777444, + 2.8957918 5 -2.1777444, + 3.5244417 2.5 -2.1777444, + 3.5244417 5 -2.1777444, + 2.8957918 5 -2.1777444, + 3.4275436 5 -2.1221287, + 3.3212349 5 -2.0877662, + 3.2101166 5 -2.0761442, + 3.0989983 5 -2.0877662, + 2.9926896 5 -2.1221287, + 2.8957918 2.5 -2.1777444, + 2.9926896 2.5 -2.1221287, + 3.0989983 2.5 -2.0877662, + 3.2101166 2.5 -2.0761442, + 3.3212349 2.5 -2.0877662, + 3.4275436 2.5 -2.1221287, + 3.5244417 2.5 -2.1777444, + 5.0152807 2.5 -2.1777444, + 3.5244417 5 -2.1777444, + 5.0152807 5 -2.1777444, + 5.0152807 2.5 -2.1777444, + 5.2078972 2.5 -1.9748973, + 5.0597405 2.5 -2.1729226, + 5.1018648 2.5 -2.1579058, + 5.1393485 2.5 -2.1335158, + 5.1701427 2.5 -2.1010864, + 5.1925621 2.5 -2.0623913, + 5.2053804 2.5 -2.019547, + 5.0152807 5 -2.1777444, + 5.2078972 5 -1.9748973, + 5.2053804 5 -2.019547, + 5.1925621 5 -2.0623913, + 5.1701427 5 -2.1010864, + 5.1393485 5 -2.1335158, + 5.1018648 5 -2.1579058, + 5.0597405 5 -2.1729226, + 5.2078972 2.5 -1.9748973, + 5.2078972 2.5 -1.6909111, + 5.2078972 5 -1.9748973, + 5.2078972 5 -1.6909111, + 5.2078977 2.5 -1.0629672, + 5.2078977 5 -1.0629672, + 5.2078972 5 -1.6909111, + 5.1520967 5 -1.159686, + 5.1176109 5 -1.2658887, + 5.1059456 5 -1.3769391, + 5.1176105 5 -1.4879895, + 5.1520963 5 -1.5941923, + 5.2078972 2.5 -1.6909111, + 5.1520963 2.5 -1.5941923, + 5.1176105 2.5 -1.4879895, + 5.1059456 2.5 -1.3769391, + 5.1176109 2.5 -1.2658887, + 5.1520967 2.5 -1.159686, + 5.2078977 2.5 -1.0629672, + 5.2078977 2.5 1.5112519, + 5.2078977 5 -1.0629672, + 5.2078977 5 1.5112519, + 5.2078977 2.5 2.1395485, + 5.2078977 5 2.1395485, + 5.2078977 5 1.5112519, + 5.1522827 5 2.0427136, + 5.1179194 5 1.936463, + 5.106297 5 1.8254001, + 5.1179194 5 1.7143373, + 5.1522827 5 1.6080867, + 5.2078977 2.5 1.5112519, + 5.1522827 2.5 1.6080867, + 5.1179194 2.5 1.7143373, + 5.106297 2.5 1.8254001, + 5.1179194 2.5 1.936463, + 5.1522827 2.5 2.0427136, + 5.2078977 2.5 2.1395485, + 5.2078977 2.5 2.4238887, + 5.2078977 5 2.1395485, + 5.2078977 5 2.4238887, + 5.2078977 2.5 2.4238887, + 5.0152807 2.5 2.6267362, + 5.2053809 2.5 2.4685385, + 5.1925621 2.5 2.5113826, + 5.1701427 2.5 2.5500777, + 5.139349 2.5 2.5825074, + 5.1018648 2.5 2.6068974, + 5.0597405 2.5 2.6219141, + 5.2078977 5 2.4238887, + 5.0152807 5 2.6267362, + 5.0597405 5 2.6219141, + 5.1018648 5 2.6068974, + 5.139349 5 2.5825074, + 5.1701427 5 2.5500777, + 5.1925621 5 2.5113826, + 5.2053809 5 2.4685385, + 5.0152807 2.5 2.6267362, + 3.5244417 2.5 2.6267362, + 5.0152807 5 2.6267362, + 3.5244417 5 2.6267362, + 2.8957918 2.5 2.6267362, + 2.8957918 5 2.6267362, + 3.5244417 5 2.6267362, + 2.9926896 5 2.5711203, + 3.0989983 5 2.5367577, + 3.2101166 5 2.525136, + 3.3212349 5 2.5367577, + 3.4275436 5 2.5711203, + 3.5244417 2.5 2.6267362, + 3.4275436 2.5 2.5711203, + 3.3212349 2.5 2.5367577, + 3.2101166 2.5 2.525136, + 3.0989983 2.5 2.5367577, + 2.9926896 2.5 2.5711203, + -3.70715 2.5 2.6267362, + -3.70715 5 2.6267362, + -3.0781472 5 2.6267362, + -3.5890241 5 2.5644937, + -3.459409 5 2.5324347, + -3.3258882 5 2.5324347, + -3.1962731 5 2.5644937, + -3.0781472 2.5 2.6267362, + -3.1962731 2.5 2.5644937, + -3.3258882 2.5 2.5324347, + -3.459409 2.5 2.5324347, + -3.5890241 2.5 2.5644937, + -3.70715 2.5 2.6267362, + -5.197989 2.5 2.6267362, + -3.70715 5 2.6267362, + -5.197989 5 2.6267362, + -5.197989 2.5 2.6267362, + -5.3909583 2.5 2.4238889, + -5.242507 2.5 2.621984, + -5.2846994 2.5 2.6070106, + -5.3222542 2.5 2.5826371, + -5.3531127 2.5 2.5501993, + -5.3755827 2.5 2.5114756, + -5.3884325 2.5 2.4685884, + -5.197989 5 2.6267362, + -5.3909583 5 2.4238889, + -5.3884325 5 2.4685884, + -5.3755827 5 2.5114756, + -5.3531127 5 2.5501993, + -5.3222542 5 2.5826371, + -5.2846994 5 2.6070106, + -5.242507 5 2.621984, + -5.3909583 2.5 2.4238889, + -5.3909583 2.5 2.1399028, + -5.3909583 5 2.4238889, + -5.3909583 5 2.1399028, + -5.3909583 2.5 1.5112532, + -5.3909583 5 1.5112532, + -5.3909583 5 2.1399028, + -5.335155 5 1.6080979, + -5.3006701 5 1.7144166, + -5.2890058 5 1.825578, + -5.3006701 5 1.9367393, + -5.335155 5 2.0430582, + -5.3909583 2.5 2.1399028, + -5.335155 2.5 2.0430582, + -5.3006701 2.5 1.9367393, + -5.2890058 2.5 1.825578, + -5.3006701 2.5 1.7144166, + -5.335155 2.5 1.6080979, + -5.3909583 2.5 1.5112532, + -5.3909583 2.5 -1.0629666, + -5.3909583 5 1.5112532, + -5.3909583 5 -1.0629666, + -5.3909583 2.5 -1.6912638, + -5.3909583 5 -1.6912638, + -5.3909583 5 -1.0629666, + -5.335156 5 -1.5944821, + -5.3006701 5 -1.4882213, + -5.2890058 5 -1.3771151, + -5.3006701 5 -1.2660091, + -5.335156 5 -1.1597482, + -5.3909583 2.5 -1.0629666, + -5.335156 2.5 -1.1597482, + -5.3006701 2.5 -1.2660091, + -5.2890058 2.5 -1.3771151, + -5.3006701 2.5 -1.4882213, + -5.335156 2.5 -1.5944821, + -5.3909583 2.5 -1.6912638, + -5.3909583 2.5 -1.97525, + -5.3909583 5 -1.6912638, + -5.3909583 5 -1.97525, + -5.3909583 2.5 -1.97525, + -5.197989 2.5 -2.1777444, + -5.3883662 2.5 -2.0198948, + -5.3754745 2.5 -2.0627162, + -5.3529873 2.5 -2.1013715, + -5.3221364 2.5 -2.1337457, + -5.2846084 2.5 -2.1580675, + -5.2424574 2.5 -2.1730061, + -5.3909583 5 -1.97525, + -5.197989 5 -2.1777444, + -5.2424574 5 -2.1730061, + -5.2846084 5 -2.1580675, + -5.3221364 5 -2.1337457, + -5.3529873 5 -2.1013715, + -5.3754745 5 -2.0627162, + -5.3883662 5 -2.0198948, + -5.197989 5 -2.1777444, + -5.3909583 5 -1.97525, + -5.2424574 5 -2.1730061, + -5.2846084 5 -2.1580675, + -5.3221364 5 -2.1337457, + -5.3529873 5 -2.1013715, + -5.3754745 5 -2.0627162, + -5.3883662 5 -2.0198948, + -5.3909583 5 -1.6912638, + -5.3909583 5 -1.0629666, + -5.335156 5 -1.5944821, + -5.3006701 5 -1.4882213, + -5.2890058 5 -1.3771151, + -5.3006701 5 -1.2660091, + -5.335156 5 -1.1597482, + -5.3909583 5 1.5112532, + -5.3909583 5 2.1399028, + -5.335155 5 1.6080979, + -5.3006701 5 1.7144166, + -5.2890058 5 1.825578, + -5.3006701 5 1.9367393, + -5.335155 5 2.0430582, + -5.3909583 5 2.4238889, + -5.197989 5 2.6267362, + -5.3884325 5 2.4685884, + -5.3755827 5 2.5114756, + -5.3531127 5 2.5501993, + -5.3222542 5 2.5826371, + -5.2846994 5 2.6070106, + -5.242507 5 2.621984, + -3.70715 5 2.6267362, + -3.0781472 5 2.6267362, + -3.5890241 5 2.5644937, + -3.459409 5 2.5324347, + -3.3258882 5 2.5324347, + -3.1962731 5 2.5644937, + -1.95 5 2.6267362, + -1.7393556 5 2.819, + -1.904171 5 2.6276758, + -1.8598762 5 2.639472, + -1.8196498 5 2.6614499, + -1.7857935 5 2.6923518, + -1.7602444 5 2.7304101, + -1.744464 5 2.7734468, + -1.7393556 5 3.5690055, + -1.5467389 5 3.7715001, + -1.7368814 5 3.6136432, + -1.724081 5 3.6564777, + -1.7016586 5 3.6951544, + -1.6708465 5 3.7275467, + -1.6333386 5 3.7518737, + -1.5911971 5 3.7667983, + 1.3640306 5 3.7715001, + 1.557 5 3.5690055, + 1.4075005 5 3.764622, + 1.4484618 5 3.7485251, + 1.4849839 5 3.7239676, + 1.5153458 5 3.692107, + 1.5381166 5 3.6544449, + 1.5522228 5 3.6127563, + 1.557 5 2.819, + 1.7594944 5 2.6267362, + 1.56401 5 2.7756498, + 1.5801889 5 2.7348254, + 1.6047777 5 2.6984415, + 1.6366231 5 2.668205, + 1.6742316 5 2.6455338, + 1.7158393 5 2.6314912, + 2.8957918 5 2.6267362, + 3.5244417 5 2.6267362, + 2.9926896 5 2.5711203, + 3.0989983 5 2.5367577, + 3.2101166 5 2.525136, + 3.3212349 5 2.5367577, + 3.4275436 5 2.5711203, + 5.0152807 5 2.6267362, + 5.2078977 5 2.4238887, + 5.0597405 5 2.6219141, + 5.1018648 5 2.6068974, + 5.139349 5 2.5825074, + 5.1701427 5 2.5500777, + 5.1925621 5 2.5113826, + 5.2053809 5 2.4685385, + 5.2078977 5 2.1395485, + 5.2078977 5 1.5112519, + 5.1522827 5 2.0427136, + 5.1179194 5 1.936463, + 5.106297 5 1.8254001, + 5.1179194 5 1.7143373, + 5.1522827 5 1.6080867, + 5.2078977 5 -1.0629672, + 5.2078972 5 -1.6909111, + 5.1520967 5 -1.159686, + 5.1176109 5 -1.2658887, + 5.1059456 5 -1.3769391, + 5.1176105 5 -1.4879895, + 5.1520963 5 -1.5941923, + 5.2078972 5 -1.9748973, + 5.0152807 5 -2.1777444, + 5.2053804 5 -2.019547, + 5.1925621 5 -2.0623913, + 5.1701427 5 -2.1010864, + 5.1393485 5 -2.1335158, + 5.1018648 5 -2.1579058, + 5.0597405 5 -2.1729226, + 3.5244417 5 -2.1777444, + 2.8957918 5 -2.1777444, + 3.4275436 5 -2.1221287, + 3.3212349 5 -2.0877662, + 3.2101166 5 -2.0761442, + 3.0989983 5 -2.0877662, + 2.9926896 5 -2.1221287, + -3.0785 5 -2.1777444, + -3.70715 5 -2.1777444, + -3.1753979 5 -2.1221287, + -3.2817068 5 -2.0877662, + -3.3928249 5 -2.0761445, + -3.5039432 5 -2.0877662, + -3.6102519 5 -2.1221287, + -2.3376667 5 1.7243305, + -3.5448723 5 1.7243305, + -3.5448723 5 -1.2756916, + -2.3376667 5 -1.2756916, + 3.3621638 5 1.7243305, + 2.1553111 5 1.7243305, + 2.1553111 5 -1.2756916, + 3.3621638 5 -1.2756916, + -2.3376667 2.5 1.7243305, + -2.3376667 2.5 -1.2756916, + -3.5448723 2.5 -1.2756916, + -3.5448723 2.5 1.7243305, + 3.3621638 2.5 1.7243305, + 3.3621638 2.5 -1.2756916, + 2.1553111 2.5 -1.2756916, + 2.1553111 2.5 1.7243305, + 1.557 2 2.819, + 1.557 2 3.5690055, + 1.557 5 2.819, + 1.557 5 3.5690055, + 1.3640306 2 3.7715001, + 1.557 2 3.5690055, + 1.4075005 2 3.764622, + 1.4484618 2 3.7485251, + 1.4849839 2 3.7239676, + 1.5153458 2 3.692107, + 1.5381166 2 3.6544449, + 1.5522228 2 3.6127563, + 1.557 5 3.5690055, + 1.3640306 5 3.7715001, + 1.4075005 5 3.764622, + 1.4484618 5 3.7485251, + 1.4849839 5 3.7239676, + 1.5153458 5 3.692107, + 1.5381166 5 3.6544449, + 1.5522228 5 3.6127563, + -1.5467389 2 3.7715001, + 1.3640306 2 3.7715001, + 1.3640306 5 3.7715001, + -1.5467389 5 3.7715001, + -1.7393556 2 3.5690055, + -1.5467389 2 3.7715001, + -1.7368814 2 3.6136432, + -1.724081 2 3.6564777, + -1.7016586 2 3.6951544, + -1.6708465 2 3.7275467, + -1.6333386 2 3.7518737, + -1.5911971 2 3.7667983, + -1.5467389 5 3.7715001, + -1.7393556 5 3.5690055, + -1.7368814 5 3.6136432, + -1.724081 5 3.6564777, + -1.7016586 5 3.6951544, + -1.6708465 5 3.7275467, + -1.6333386 5 3.7518737, + -1.5911971 5 3.7667983, + -1.7393556 2 2.819, + -1.7393556 2 3.5690055, + -1.7393556 5 3.5690055, + -1.7393556 5 2.819, + -1.95 2 2.6267362, + -1.95 5 2.6267362, + -1.7393556 5 2.819, + -1.904171 5 2.6276758, + -1.8598762 5 2.639472, + -1.8196498 5 2.6614499, + -1.7857935 5 2.6923518, + -1.7602444 5 2.7304101, + -1.744464 5 2.7734468, + -1.7393556 2 2.819, + -1.744464 2 2.7734468, + -1.7602444 2 2.7304101, + -1.7857935 2 2.6923518, + -1.8196498 2 2.6614499, + -1.8598762 2 2.639472, + -1.904171 2 2.6276758, + -2.6015444 2 2.6267362, + -1.95 2 2.6267362, + -1.95 5 2.6267362, + -3.0781472 5 2.6267362, + -3.0781472 2.5 2.6267362, + -2.6015444 2.5 2.6267362, + -2.6015444 2 2.6267362, + -2.6015444 2 3.2345722, + -2.6015444 2.5 2.6267362, + -2.6015444 2.5 3.2345722, + -2.7334833 2 3.4067278, + -2.6015444 2 3.2345722, + -2.6970298 2 3.3930783, + -2.6645072 2 3.3716905, + -2.6375322 2 3.3436277, + -2.6174464 2 3.3102851, + -2.6052485 2 3.2733207, + -2.6015444 2.5 3.2345722, + -2.7334833 2.5 3.4067278, + -2.6970298 2.5 3.3930783, + -2.6645072 2.5 3.3716905, + -2.6375322 2.5 3.3436277, + -2.6174464 2.5 3.3102851, + -2.6052485 2.5 3.2733207, + -2.7334833 2 3.4067278, + -5.197989 2 3.4067273, + -2.7334833 2.5 3.4067278, + -5.197989 2.5 3.4067273, + -6.1818862 2 2.4238889, + -5.197989 2 3.4067273, + -6.1570158 2 2.6426525, + -6.0841217 2 2.8504083, + -5.9668536 2 3.0367529, + -5.8110843 2 3.1923547, + -5.6246133 2 3.309422, + -5.4167795 2 3.3820925, + -5.197989 2.5 3.4067273, + -6.1818862 2.5 2.4238889, + -6.1570158 2.5 2.6426525, + -6.0841217 2.5 2.8504083, + -5.9668536 2.5 3.0367529, + -5.8110843 2.5 3.1923547, + -5.6246133 2.5 3.309422, + -5.4167795 2.5 3.3820925, + -6.1818862 2 2.4238889, + -6.1822391 2 -1.9752507, + -6.1818862 2.5 2.4238889, + -6.1822391 2.5 -1.9752507, + -5.1983418 2 -2.9679666, + -6.1822391 2 -1.9752507, + -5.4177947 2 -2.9414124, + -5.6259303 2 -2.8669515, + -5.812427 2 -2.7482762, + -5.9680367 2 -2.5912719, + -6.085043 2 -2.4037237, + -6.1576438 2 -2.194932, + -6.1822391 2.5 -1.9752507, + -5.1983418 2.5 -2.9679666, + -5.4177947 2.5 -2.9414124, + -5.6259303 2.5 -2.8669515, + -5.812427 2.5 -2.7482762, + -5.9680367 2.5 -2.5912719, + -6.085043 2.5 -2.4037237, + -6.1576438 2.5 -2.194932, + -5.1983418 2 -3.7687721, + -5.1983418 2 -2.9679666, + -5.1983418 2.5 -2.9679666, + -5.1983418 2.5 -3.7687721, + -5.1983418 2 -3.7687721, + -4.6906943 2 -3.7687721, + -5.1983418 2.5 -3.7687721, + -4.6906943 2.5 -3.7687721, + -4.6906943 2 -2.9679666, + -4.6906943 2 -3.7687721, + -4.6906943 2.5 -3.7687721, + -4.6906943 2.5 -2.9679666, + -4.6906943 2 -2.9679666, + 4.5079861 2 -2.9679666, + -4.6906943 2.5 -2.9679666, + 4.5079861 2.5 -2.9679666, + 4.5079861 2 -2.9679666, + 4.5079861 2 -3.7687721, + 4.5079861 2.5 -2.9679666, + 4.5079861 2.5 -3.7687721, + 4.5079861 2 -3.7687721, + 5.0152807 2 -3.7687721, + 4.5079861 2.5 -3.7687721, + 5.0152807 2.5 -3.7687721, + 5.0152807 2 -3.7687721, + 5.0149279 2 -2.9676139, + 5.0152807 2.5 -3.7687721, + 5.0149279 2.5 -2.9676139, + 5.9991779 2 -1.9748973, + 5.0149279 2 -2.9676139, + 5.9745569 2 -2.1946211, + 5.9019156 2 -2.4034464, + 5.7848573 2 -2.5910153, + 5.6291876 2 -2.748024, + 5.4426284 2 -2.8666847, + 5.2344327 2 -2.941112, + 5.0149279 2.5 -2.9676139, + 5.9991779 2.5 -1.9748973, + 5.9745569 2.5 -2.1946211, + 5.9019156 2.5 -2.4034464, + 5.7848573 2.5 -2.5910153, + 5.6291876 2.5 -2.748024, + 5.4426284 2.5 -2.8666847, + 5.2344327 2.5 -2.941112, + 5.9991779 2 -1.9748973, + 5.9991779 2 2.4238889, + 5.9991779 2.5 -1.9748973, + 5.9991779 2.5 2.4238889, + 5.0152807 2 3.4067278, + 5.9991779 2 2.4238889, + 5.2340708 2 3.382093, + 5.441905 2 3.3094225, + 5.628376 2 3.1923552, + 5.7841454 2 3.0367532, + 5.9014134 2 2.8504086, + 5.9743075 2 2.6426525, + 5.9991779 2.5 2.4238889, + 5.0152807 2.5 3.4067278, + 5.2340708 2.5 3.382093, + 5.441905 2.5 3.3094225, + 5.628376 2.5 3.1923552, + 5.7841454 2.5 3.0367532, + 5.9014134 2.5 2.8504086, + 5.9743075 2.5 2.6426525, + 5.0152807 2 3.4067278, + 2.5909917 2 3.4067278, + 5.0152807 2.5 3.4067278, + 2.5909917 2.5 3.4067278, + 2.4188361 2 3.2345722, + 2.5909917 2 3.4067278, + 2.4230158 2 3.2729404, + 2.4356735 2 3.309401, + 2.456167 2 3.3421059, + 2.483458 2 3.3693969, + 2.5161629 2 3.3898904, + 2.5526235 2 3.4025481, + 2.5909917 2.5 3.4067278, + 2.4188361 2.5 3.2345722, + 2.4230158 2.5 3.2729404, + 2.4356735 2.5 3.309401, + 2.456167 2.5 3.3421059, + 2.483458 2.5 3.3693969, + 2.5161629 2.5 3.3898904, + 2.5526235 2.5 3.4025481, + 2.4188361 2 3.2345722, + 2.4188361 2 2.6267362, + 2.4188361 2.5 3.2345722, + 2.4188361 2.5 2.6267362, + 1.7594944 2 2.6267362, + 2.4188361 2 2.6267362, + 2.4188361 2.5 2.6267362, + 2.8957918 2.5 2.6267362, + 2.8957918 5 2.6267362, + 1.7594944 5 2.6267362, + 1.557 2 2.819, + 1.557 5 2.819, + 1.7594944 5 2.6267362, + 1.56401 5 2.7756498, + 1.5801889 5 2.7348254, + 1.6047777 5 2.6984415, + 1.6366231 5 2.668205, + 1.6742316 5 2.6455338, + 1.7158393 5 2.6314912, + 1.7594944 2 2.6267362, + 1.7158393 2 2.6314912, + 1.6742316 2 2.6455338, + 1.6366231 2 2.668205, + 1.6047777 2 2.6984415, + 1.5801889 2 2.7348254, + 1.56401 2 2.7756498, + 2.8957918 2.5 2.6267362, + 2.4188361 2.5 2.6267362, + 2.4188361 2.5 3.2345722, + 2.5909917 2.5 3.4067278, + 2.4230158 2.5 3.2729404, + 2.4356735 2.5 3.309401, + 2.456167 2.5 3.3421059, + 2.483458 2.5 3.3693969, + 2.5161629 2.5 3.3898904, + 2.5526235 2.5 3.4025481, + 5.0152807 2.5 3.4067278, + 5.9991779 2.5 2.4238889, + 5.2340708 2.5 3.382093, + 5.441905 2.5 3.3094225, + 5.628376 2.5 3.1923552, + 5.7841454 2.5 3.0367532, + 5.9014134 2.5 2.8504086, + 5.9743075 2.5 2.6426525, + 5.9991779 2.5 -1.9748973, + 5.0149279 2.5 -2.9676139, + 5.9745569 2.5 -2.1946211, + 5.9019156 2.5 -2.4034464, + 5.7848573 2.5 -2.5910153, + 5.6291876 2.5 -2.748024, + 5.4426284 2.5 -2.8666847, + 5.2344327 2.5 -2.941112, + 5.0152807 2.5 -3.7687721, + 4.5079861 2.5 -3.7687721, + 4.5079861 2.5 -2.9679666, + -4.6906943 2.5 -2.9679666, + -4.6906943 2.5 -3.7687721, + -5.1983418 2.5 -3.7687721, + -5.1983418 2.5 -2.9679666, + -6.1822391 2.5 -1.9752507, + -5.4177947 2.5 -2.9414124, + -5.6259303 2.5 -2.8669515, + -5.812427 2.5 -2.7482762, + -5.9680367 2.5 -2.5912719, + -6.085043 2.5 -2.4037237, + -6.1576438 2.5 -2.194932, + -6.1818862 2.5 2.4238889, + -5.197989 2.5 3.4067273, + -6.1570158 2.5 2.6426525, + -6.0841217 2.5 2.8504083, + -5.9668536 2.5 3.0367529, + -5.8110843 2.5 3.1923547, + -5.6246133 2.5 3.309422, + -5.4167795 2.5 3.3820925, + -2.7334833 2.5 3.4067278, + -2.6015444 2.5 3.2345722, + -2.6970298 2.5 3.3930783, + -2.6645072 2.5 3.3716905, + -2.6375322 2.5 3.3436277, + -2.6174464 2.5 3.3102851, + -2.6052485 2.5 3.2733207, + -2.6015444 2.5 2.6267362, + -3.0781472 2.5 2.6267362, + -3.70715 2.5 2.6267362, + -3.1962731 2.5 2.5644937, + -3.3258882 2.5 2.5324347, + -3.459409 2.5 2.5324347, + -3.5890241 2.5 2.5644937, + -5.197989 2.5 2.6267362, + -5.3909583 2.5 2.4238889, + -5.242507 2.5 2.621984, + -5.2846994 2.5 2.6070106, + -5.3222542 2.5 2.5826371, + -5.3531127 2.5 2.5501993, + -5.3755827 2.5 2.5114756, + -5.3884325 2.5 2.4685884, + -5.3909583 2.5 2.1399028, + -5.3909583 2.5 1.5112532, + -5.335155 2.5 2.0430582, + -5.3006701 2.5 1.9367393, + -5.2890058 2.5 1.825578, + -5.3006701 2.5 1.7144166, + -5.335155 2.5 1.6080979, + -5.3909583 2.5 -1.0629666, + -5.3909583 2.5 -1.6912638, + -5.335156 2.5 -1.1597482, + -5.3006701 2.5 -1.2660091, + -5.2890058 2.5 -1.3771151, + -5.3006701 2.5 -1.4882213, + -5.335156 2.5 -1.5944821, + -5.3909583 2.5 -1.97525, + -5.197989 2.5 -2.1777444, + -5.3883662 2.5 -2.0198948, + -5.3754745 2.5 -2.0627162, + -5.3529873 2.5 -2.1013715, + -5.3221364 2.5 -2.1337457, + -5.2846084 2.5 -2.1580675, + -5.2424574 2.5 -2.1730061, + -3.70715 2.5 -2.1777444, + -3.0785 2.5 -2.1777444, + -3.6102519 2.5 -2.1221287, + -3.5039432 2.5 -2.0877662, + -3.3928249 2.5 -2.0761445, + -3.2817068 2.5 -2.0877662, + -3.1753979 2.5 -2.1221287, + 2.8957918 2.5 -2.1777444, + 3.5244417 2.5 -2.1777444, + 2.9926896 2.5 -2.1221287, + 3.0989983 2.5 -2.0877662, + 3.2101166 2.5 -2.0761442, + 3.3212349 2.5 -2.0877662, + 3.4275436 2.5 -2.1221287, + 5.0152807 2.5 -2.1777444, + 5.2078972 2.5 -1.9748973, + 5.0597405 2.5 -2.1729226, + 5.1018648 2.5 -2.1579058, + 5.1393485 2.5 -2.1335158, + 5.1701427 2.5 -2.1010864, + 5.1925621 2.5 -2.0623913, + 5.2053804 2.5 -2.019547, + 5.2078972 2.5 -1.6909111, + 5.2078977 2.5 -1.0629672, + 5.1520963 2.5 -1.5941923, + 5.1176105 2.5 -1.4879895, + 5.1059456 2.5 -1.3769391, + 5.1176109 2.5 -1.2658887, + 5.1520967 2.5 -1.159686, + 5.2078977 2.5 1.5112519, + 5.2078977 2.5 2.1395485, + 5.1522827 2.5 1.6080867, + 5.1179194 2.5 1.7143373, + 5.106297 2.5 1.8254001, + 5.1179194 2.5 1.936463, + 5.1522827 2.5 2.0427136, + 5.2078977 2.5 2.4238887, + 5.0152807 2.5 2.6267362, + 5.2053809 2.5 2.4685385, + 5.1925621 2.5 2.5113826, + 5.1701427 2.5 2.5500777, + 5.139349 2.5 2.5825074, + 5.1018648 2.5 2.6068974, + 5.0597405 2.5 2.6219141, + 3.5244417 2.5 2.6267362, + 3.4275436 2.5 2.5711203, + 3.3212349 2.5 2.5367577, + 3.2101166 2.5 2.525136, + 3.0989983 2.5 2.5367577, + 2.9926896 2.5 2.5711203, + 1.7594944 2 2.6267362, + 1.557 2 2.819, + 1.7158393 2 2.6314912, + 1.6742316 2 2.6455338, + 1.6366231 2 2.668205, + 1.6047777 2 2.6984415, + 1.5801889 2 2.7348254, + 1.56401 2 2.7756498, + 1.557 2 3.5690055, + 1.3640306 2 3.7715001, + 1.4075005 2 3.764622, + 1.4484618 2 3.7485251, + 1.4849839 2 3.7239676, + 1.5153458 2 3.692107, + 1.5381166 2 3.6544449, + 1.5522228 2 3.6127563, + -1.5467389 2 3.7715001, + -1.7393556 2 3.5690055, + -1.7368814 2 3.6136432, + -1.724081 2 3.6564777, + -1.7016586 2 3.6951544, + -1.6708465 2 3.7275467, + -1.6333386 2 3.7518737, + -1.5911971 2 3.7667983, + -1.7393556 2 2.819, + -1.95 2 2.6267362, + -1.744464 2 2.7734468, + -1.7602444 2 2.7304101, + -1.7857935 2 2.6923518, + -1.8196498 2 2.6614499, + -1.8598762 2 2.639472, + -1.904171 2 2.6276758, + -2.6015444 2 2.6267362, + -2.6015444 2 3.2345722, + -2.7334833 2 3.4067278, + -2.6970298 2 3.3930783, + -2.6645072 2 3.3716905, + -2.6375322 2 3.3436277, + -2.6174464 2 3.3102851, + -2.6052485 2 3.2733207, + -5.197989 2 3.4067273, + -6.1818862 2 2.4238889, + -6.1570158 2 2.6426525, + -6.0841217 2 2.8504083, + -5.9668536 2 3.0367529, + -5.8110843 2 3.1923547, + -5.6246133 2 3.309422, + -5.4167795 2 3.3820925, + -6.1822391 2 -1.9752507, + -5.1983418 2 -2.9679666, + -5.4177947 2 -2.9414124, + -5.6259303 2 -2.8669515, + -5.812427 2 -2.7482762, + -5.9680367 2 -2.5912719, + -6.085043 2 -2.4037237, + -6.1576438 2 -2.194932, + -5.1983418 2 -3.7687721, + -4.6906943 2 -3.7687721, + -4.6906943 2 -2.9679666, + 4.5079861 2 -2.9679666, + 4.5079861 2 -3.7687721, + 5.0152807 2 -3.7687721, + 5.0149279 2 -2.9676139, + 5.9991779 2 -1.9748973, + 5.9745569 2 -2.1946211, + 5.9019156 2 -2.4034464, + 5.7848573 2 -2.5910153, + 5.6291876 2 -2.748024, + 5.4426284 2 -2.8666847, + 5.2344327 2 -2.941112, + 5.9991779 2 2.4238889, + 5.0152807 2 3.4067278, + 5.2340708 2 3.382093, + 5.441905 2 3.3094225, + 5.628376 2 3.1923552, + 5.7841454 2 3.0367532, + 5.9014134 2 2.8504086, + 5.9743075 2 2.6426525, + 2.5909917 2 3.4067278, + 2.4188361 2 3.2345722, + 2.4230158 2 3.2729404, + 2.4356735 2 3.309401, + 2.456167 2 3.3421059, + 2.483458 2 3.3693969, + 2.5161629 2 3.3898904, + 2.5526235 2 3.4025481, + 2.4188361 2 2.6267362, + -5.5913544 -5.5999999 3.9241383, + -5.1913543 -6 3.9241383, + -5.1913543 -6 4.1241384, + -5.5913544 -5.5999999 4.1241384, + -5.1913543 -6 3.9241383, + -5.1913543 -6 4.1241384, + -4.991354 -6 3.9241383, + -4.991354 -6 4.1241384, + -5.5913544 -5.5999999 3.9241383, + -5.1913543 -6 3.9241383, + -5.5913544 -3 3.9241383, + -4.5913544 -3 3.9241383, + -4.5913544 -5.5999999 3.9241383, + -4.991354 -6 3.9241383, + -4.5913544 -5.5999999 4.1241384, + -4.991354 -6 4.1241384, + -4.991354 -6 3.9241383, + -4.5913544 -5.5999999 3.9241383, + -5.1913543 -6 4.1241384, + -5.5913544 -5.5999999 4.1241384, + -4.991354 -6 4.1241384, + -4.5913544 -5.5999999 4.1241384, + -4.5913544 -3 4.1241384, + -5.5913544 -3 4.1241384, + -4.5913544 -5.5999999 3.9241383, + -4.5913544 -5.5999999 4.1241384, + -4.5913544 -3 3.9241383, + -4.5913544 -3 4.1241384, + -0.59135419 -5.5999999 5.2234244, + -0.19135417 -6 5.2234244, + -0.19135417 -6 5.4234247, + -0.59135419 -5.5999999 5.4234247, + -0.19135417 -6 5.2234244, + -0.19135417 -6 5.4234247, + 0.0086458335 -6 5.2234244, + 0.0086458335 -6 5.4234247, + -0.59135419 -5.5999999 5.2234244, + -0.19135417 -6 5.2234244, + -0.59135419 -3 5.2234244, + 0.40864584 -3 5.2234244, + 0.40864584 -5.5999999 5.2234244, + 0.0086458335 -6 5.2234244, + 0.40864584 -5.5999999 5.4234247, + 0.0086458335 -6 5.4234247, + 0.0086458335 -6 5.2234244, + 0.40864584 -5.5999999 5.2234244, + -0.19135417 -6 5.4234247, + -0.59135419 -5.5999999 5.4234247, + 0.0086458335 -6 5.4234247, + 0.40864584 -5.5999999 5.4234247, + 0.40864584 -3 5.4234247, + -0.59135419 -3 5.4234247, + 0.40864584 -5.5999999 5.2234244, + 0.40864584 -5.5999999 5.4234247, + 0.40864584 -3 5.2234244, + 0.40864584 -3 5.4234247, + -1.3029424 -6 0.22342463, + -1.3029424 -6 0.22342463, + -1.2680726 -6 0.51060343, + -1.1654897 -6 0.78109241, + -1.0011554 -6 1.0191718, + -0.78462017 -6 1.2110052, + -0.52846831 -6 1.3454441, + -0.24758646 -6 1.4146752, + 0.041701574 -6 1.4146752, + 0.32258344 -6 1.3454441, + 0.57873523 -6 1.2110052, + 0.79527044 -6 1.0191718, + 0.9596048 -6 0.78109241, + 1.0621878 -6 0.51060343, + 1.0970576 -6 0.22342463, + 1.0621878 -6 -0.063754179, + 0.9596048 -6 -0.33424318, + 0.79527044 -6 -0.57232255, + 0.57873523 -6 -0.76415604, + 0.32258344 -6 -0.89859486, + 0.041701574 -6 -0.96782601, + -0.24758646 -6 -0.96782601, + -0.52846831 -6 -0.89859486, + -0.78462017 -6 -0.76415604, + -1.0011554 -6 -0.57232255, + -1.1654897 -6 -0.33424318, + -1.2680726 -6 -0.063754179, + -1.8029424 -5.5 0.22342463, + -1.8029424 -5.5 0.22342463, + -1.7535435 -5.5 -0.18341202, + -1.6082177 -5.5 -0.56660479, + -1.3754107 -5.5 -0.90388387, + -1.0686525 -5.5 -1.175648, + -0.70577073 -5.5 -1.3661029, + -0.3078548 -5.5 -1.4641805, + 0.10196991 -5.5 -1.4641805, + 0.49988586 -5.5 -1.3661029, + 0.86276764 -5.5 -1.175648, + 1.1695259 -5.5 -0.90388387, + 1.4023328 -5.5 -0.56660479, + 1.5476587 -5.5 -0.18341202, + 1.5970576 -5.5 0.22342463, + 1.5476587 -5.5 0.63026124, + 1.4023328 -5.5 1.013454, + 1.1695259 -5.5 1.3507332, + 0.86276764 -5.5 1.6224972, + 0.49988586 -5.5 1.8129523, + 0.10196991 -5.5 1.9110297, + -0.3078548 -5.5 1.9110297, + -0.70577073 -5.5 1.8129523, + -1.0686525 -5.5 1.6224972, + -1.3754107 -5.5 1.3507332, + -1.6082177 -5.5 1.013454, + -1.7535435 -5.5 0.63026124, + -1.4654968 -5.75 0.71935385, + -1.2137069 -5.75 1.1554667, + -0.82794243 -5.75 1.4791615, + -0.3547323 -5.75 1.6513959, + 0.14884742 -5.75 1.6513959, + 0.62205756 -5.75 1.4791615, + 1.007822 -5.75 1.1554667, + 1.2596118 -5.75 0.71935385, + 1.3470576 -5.75 0.22342463, + 1.2596118 -5.75 -0.2725046, + 1.007822 -5.75 -0.70861739, + 0.62205756 -5.75 -1.0323123, + 0.14884742 -5.75 -1.2045466, + -0.3547323 -5.75 -1.2045466, + -0.82794243 -5.75 -1.0323123, + -1.2137069 -5.75 -0.70861739, + -1.4654968 -5.75 -0.2725046, + -1.4078127 -5.875 0.45350847, + -1.6540147 -5.625 0.4969205, + -1.4078127 -5.875 -0.0066592144, + -1.6540147 -5.625 -0.050071258, + -1.8029424 -5.5 0.22342463, + -1.8029424 -5.5 0.22342463, + -1.7535435 -5.5 -0.18341202, + -1.6082177 -5.5 -0.56660479, + -1.3754107 -5.5 -0.90388387, + -1.0686525 -5.5 -1.175648, + -0.70577073 -5.5 -1.3661029, + -0.3078548 -5.5 -1.4641805, + 0.10196991 -5.5 -1.4641805, + 0.49988586 -5.5 -1.3661029, + 0.86276764 -5.5 -1.175648, + 1.1695259 -5.5 -0.90388387, + 1.4023328 -5.5 -0.56660479, + 1.5476587 -5.5 -0.18341202, + 1.5970576 -5.5 0.22342463, + 1.5476587 -5.5 0.63026124, + 1.4023328 -5.5 1.013454, + 1.1695259 -5.5 1.3507332, + 0.86276764 -5.5 1.6224972, + 0.49988586 -5.5 1.8129523, + 0.10196991 -5.5 1.9110297, + -0.3078548 -5.5 1.9110297, + -0.70577073 -5.5 1.8129523, + -1.0686525 -5.5 1.6224972, + -1.3754107 -5.5 1.3507332, + -1.6082177 -5.5 1.013454, + -1.7535435 -5.5 0.63026124, + -1.8029424 -3 0.22342463, + -1.8029424 -3 0.22342463, + -1.7535435 -3 0.63026124, + -1.6082177 -3 1.013454, + -1.3754107 -3 1.3507332, + -1.0686525 -3 1.6224972, + -0.70577073 -3 1.8129523, + -0.3078548 -3 1.9110297, + 0.10196991 -3 1.9110297, + 0.49988586 -3 1.8129523, + 0.86276764 -3 1.6224972, + 1.1695259 -3 1.3507332, + 1.4023328 -3 1.013454, + 1.5476587 -3 0.63026124, + 1.5970576 -3 0.22342463, + 1.5476587 -3 -0.18341202, + 1.4023328 -3 -0.56660479, + 1.1695259 -3 -0.90388387, + 0.86276764 -3 -1.175648, + 0.49988586 -3 -1.3661029, + 0.10196991 -3 -1.4641805, + -0.3078548 -3 -1.4641805, + -0.70577073 -3 -1.3661029, + -1.0686525 -3 -1.175648, + -1.3754107 -3 -0.90388387, + -1.6082177 -3 -0.56660479, + -1.7535435 -3 -0.18341202, + -1.3029424 -6 0.22342463, + -1.2680726 -6 0.51060343, + -1.1654897 -6 0.78109241, + -1.0011554 -6 1.0191718, + -0.78462017 -6 1.2110052, + -0.52846831 -6 1.3454441, + -0.24758646 -6 1.4146752, + 0.041701574 -6 1.4146752, + 0.32258344 -6 1.3454441, + 0.57873523 -6 1.2110052, + 0.79527044 -6 1.0191718, + 0.9596048 -6 0.78109241, + 1.0621878 -6 0.51060343, + 1.0970576 -6 0.22342463, + 1.0621878 -6 -0.063754179, + 0.9596048 -6 -0.33424318, + 0.79527044 -6 -0.57232255, + 0.57873523 -6 -0.76415604, + 0.32258344 -6 -0.89859486, + 0.041701574 -6 -0.96782601, + -0.24758646 -6 -0.96782601, + -0.52846831 -6 -0.89859486, + -0.78462017 -6 -0.76415604, + -1.0011554 -6 -0.57232255, + -1.1654897 -6 -0.33424318, + -1.2680726 -6 -0.063754179, + -0.59135419 -5.5999999 5.4234247, + -0.59135419 -5.5999999 5.2234244, + -0.59135419 -3 5.4234247, + -0.59135419 -3 5.2234244, + -6.5529423 -6 0.22342463, + -6.5529423 -6 0.22342463, + -6.5253372 -6 -0.0039252602, + -6.4441257 -6 -0.21806239, + -6.3140278 -6 -0.40654191, + -6.1426039 -6 -0.55841005, + -5.939817 -6 -0.66484082, + -5.7174525 -6 -0.71964884, + -5.4884324 -6 -0.71964884, + -5.266068 -6 -0.66484082, + -5.0632811 -6 -0.55841005, + -4.8918571 -6 -0.40654191, + -4.7617593 -6 -0.21806239, + -4.6805477 -6 -0.0039252602, + -4.6529427 -6 0.22342463, + -4.6805477 -6 0.45077449, + -4.7617593 -6 0.66491163, + -4.8918571 -6 0.85339117, + -5.0632811 -6 1.0052593, + -5.266068 -6 1.11169, + -5.4884324 -6 1.1664981, + -5.7174525 -6 1.1664981, + -5.939817 -6 1.11169, + -6.1426039 -6 1.0052593, + -6.3140278 -6 0.85339117, + -6.4441257 -6 0.66491163, + -6.5253372 -6 0.45077449, + -6.5529423 -3 0.22342463, + -6.5529423 -3 0.22342463, + -6.5253372 -3 0.45077449, + -6.4441257 -3 0.66491163, + -6.3140278 -3 0.85339117, + -6.1426039 -3 1.0052593, + -5.939817 -3 1.11169, + -5.7174525 -3 1.1664981, + -5.4884324 -3 1.1664981, + -5.266068 -3 1.11169, + -5.0632811 -3 1.0052593, + -4.8918571 -3 0.85339117, + -4.7617593 -3 0.66491163, + -4.6805477 -3 0.45077449, + -4.6529427 -3 0.22342463, + -4.6805477 -3 -0.0039252602, + -4.7617593 -3 -0.21806239, + -4.8918571 -3 -0.40654191, + -5.0632811 -3 -0.55841005, + -5.266068 -3 -0.66484082, + -5.4884324 -3 -0.71964884, + -5.7174525 -3 -0.71964884, + -5.939817 -3 -0.66484082, + -6.1426039 -3 -0.55841005, + -6.3140278 -3 -0.40654191, + -6.4441257 -3 -0.21806239, + -6.5253372 -3 -0.0039252602, + -6.5529423 -6 0.22342463, + -6.5253372 -6 -0.0039252602, + -6.4441257 -6 -0.21806239, + -6.3140278 -6 -0.40654191, + -6.1426039 -6 -0.55841005, + -5.939817 -6 -0.66484082, + -5.7174525 -6 -0.71964884, + -5.4884324 -6 -0.71964884, + -5.266068 -6 -0.66484082, + -5.0632811 -6 -0.55841005, + -4.8918571 -6 -0.40654191, + -4.7617593 -6 -0.21806239, + -4.6805477 -6 -0.0039252602, + -4.6529427 -6 0.22342463, + -4.6805477 -6 0.45077449, + -4.7617593 -6 0.66491163, + -4.8918571 -6 0.85339117, + -5.0632811 -6 1.0052593, + -5.266068 -6 1.11169, + -5.4884324 -6 1.1664981, + -5.7174525 -6 1.1664981, + -5.939817 -6 1.11169, + -6.1426039 -6 1.0052593, + -6.3140278 -6 0.85339117, + -6.4441257 -6 0.66491163, + -6.5253372 -6 0.45077449, + -5.5913544 -5.5999999 4.1241384, + -5.5913544 -5.5999999 3.9241383, + -5.5913544 -3 4.1241384, + -5.5913544 -3 3.9241383, + 4.4379425 -6 0.22342463, + 4.4379425 -6 0.22342463, + 4.465548 -6 -0.0039252602, + 4.5467596 -6 -0.21806239, + 4.6768575 -6 -0.40654191, + 4.8482809 -6 -0.55841005, + 5.0510678 -6 -0.66484082, + 5.2734327 -6 -0.71964884, + 5.5024524 -6 -0.71964884, + 5.7248173 -6 -0.66484082, + 5.9276042 -6 -0.55841005, + 6.0990276 -6 -0.40654191, + 6.229126 -6 -0.21806239, + 6.3103375 -6 -0.0039252602, + 6.3379426 -6 0.22342463, + 6.3103375 -6 0.45077449, + 6.229126 -6 0.66491163, + 6.0990276 -6 0.85339117, + 5.9276042 -6 1.0052593, + 5.7248173 -6 1.11169, + 5.5024524 -6 1.1664981, + 5.2734327 -6 1.1664981, + 5.0510678 -6 1.11169, + 4.8482809 -6 1.0052593, + 4.6768575 -6 0.85339117, + 4.5467596 -6 0.66491163, + 4.465548 -6 0.45077449, + 4.4379425 -3 0.22342463, + 4.4379425 -3 0.22342463, + 4.465548 -3 0.45077449, + 4.5467596 -3 0.66491163, + 4.6768575 -3 0.85339117, + 4.8482809 -3 1.0052593, + 5.0510678 -3 1.11169, + 5.2734327 -3 1.1664981, + 5.5024524 -3 1.1664981, + 5.7248173 -3 1.11169, + 5.9276042 -3 1.0052593, + 6.0990276 -3 0.85339117, + 6.229126 -3 0.66491163, + 6.3103375 -3 0.45077449, + 6.3379426 -3 0.22342463, + 6.3103375 -3 -0.0039252602, + 6.229126 -3 -0.21806239, + 6.0990276 -3 -0.40654191, + 5.9276042 -3 -0.55841005, + 5.7248173 -3 -0.66484082, + 5.5024524 -3 -0.71964884, + 5.2734327 -3 -0.71964884, + 5.0510678 -3 -0.66484082, + 4.8482809 -3 -0.55841005, + 4.6768575 -3 -0.40654191, + 4.5467596 -3 -0.21806239, + 4.465548 -3 -0.0039252602, + 4.4379425 -6 0.22342463, + 4.465548 -6 -0.0039252602, + 4.5467596 -6 -0.21806239, + 4.6768575 -6 -0.40654191, + 4.8482809 -6 -0.55841005, + 5.0510678 -6 -0.66484082, + 5.2734327 -6 -0.71964884, + 5.5024524 -6 -0.71964884, + 5.7248173 -6 -0.66484082, + 5.9276042 -6 -0.55841005, + 6.0990276 -6 -0.40654191, + 6.229126 -6 -0.21806239, + 6.3103375 -6 -0.0039252602, + 6.3379426 -6 0.22342463, + 6.3103375 -6 0.45077449, + 6.229126 -6 0.66491163, + 6.0990276 -6 0.85339117, + 5.9276042 -6 1.0052593, + 5.7248173 -6 1.11169, + 5.5024524 -6 1.1664981, + 5.2734327 -6 1.1664981, + 5.0510678 -6 1.11169, + 4.8482809 -6 1.0052593, + 4.6768575 -6 0.85339117, + 4.5467596 -6 0.66491163, + 4.465548 -6 0.45077449, + -3.159286 -0.80000001 -2.1470528, + -3.0781472 -0.80000001 -2.1777444, + -3.0781472 -3 -2.1777444, + -3.159286 -3 -2.1470528, + -3.0785 -0.80000001 -2.1777444, + -3.159286 -0.80000001 -2.1470528, + -3.159286 -3 -2.1470528, + -3.0785 -3 -2.1777444, + -3.0781472 -0.80000001 -2.1777444, + -3.0785 -0.80000001 -2.1777444, + -3.0785 -3 -2.1777444, + -3.0781472 -3 -2.1777444, + 5.2078972 -0.80000001 1.5112522, + 5.2077026 -0.80000001 1.5123882, + 5.2077026 -3 1.5123882, + 5.2078972 -3 1.5112522, + 5.2078972 -0.80000001 1.5116055, + 5.2078972 -0.80000001 1.5112522, + 5.2078972 -3 1.5112522, + 5.2078972 -3 1.5116055, + 5.2077026 -0.80000001 1.5123882, + 5.2078972 -0.80000001 1.5116055, + 5.2078972 -3 1.5116055, + 5.2077026 -3 1.5123882, + 6.595273 -0.80000001 -5.4208307, + 6.5952749 -0.80000001 5.9294343, + 6.5952749 -3 5.9294343, + 6.595273 -3 -5.4208307, + 5.5644345 -0.80000001 -6.4628372, + 6.595273 -0.80000001 -5.4208307, + 5.7944613 -0.80000001 -6.434586, + 6.0125551 -0.80000001 -6.3561926, + 6.2079287 -0.80000001 -6.2315354, + 6.3709188 -0.80000001 -6.0667796, + 6.4934635 -0.80000001 -5.8700738, + 6.5695019 -0.80000001 -5.6511483, + 6.595273 -3 -5.4208307, + 5.5644345 -3 -6.4628372, + 5.7944613 -3 -6.434586, + 6.0125551 -3 -6.3561926, + 6.2079287 -3 -6.2315354, + 6.3709188 -3 -6.0667796, + 6.4934635 -3 -5.8700738, + 6.5695019 -3 -5.6511483, + -5.7470732 -0.80000001 -6.4631901, + 5.5644345 -0.80000001 -6.4628372, + 5.5644345 -3 -6.4628372, + -5.7470732 -3 -6.4631901, + -6.7783341 -0.80000001 -5.4211831, + -5.7470732 -0.80000001 -6.4631901, + -6.7525496 -0.80000001 -5.6515594, + -6.6764727 -0.80000001 -5.8705344, + -6.5538673 -0.80000001 -6.0672727, + -6.3908014 -0.80000001 -6.232038, + -6.1953449 -0.80000001 -6.3566756, + -5.97717 -0.80000001 -6.435019, + -5.7470732 -3 -6.4631901, + -6.7783341 -3 -5.4211831, + -6.7525496 -3 -5.6515594, + -6.6764727 -3 -5.8705344, + -6.5538673 -3 -6.0672727, + -6.3908014 -3 -6.232038, + -6.1953449 -3 -6.3566756, + -5.97717 -3 -6.435019, + -6.7783346 -0.80000001 5.8682857, + -6.7783341 -0.80000001 -5.4211831, + -6.7783341 -3 -5.4211831, + -6.7783346 -3 5.8682857, + -5.7455001 -0.80000001 6.901598, + -6.7783346 -0.80000001 5.8682857, + -5.9753938 -0.80000001 6.8756876, + -6.1937532 -0.80000001 6.7992563, + -6.3896222 -0.80000001 6.6761384, + -6.5531731 -0.80000001 6.5125117, + -6.6761999 -0.80000001 6.316586, + -6.7525306 -0.80000001 6.0981913, + -6.7783346 -3 5.8682857, + -5.7455001 -3 6.901598, + -5.9753938 -3 6.8756876, + -6.1937532 -3 6.7992563, + -6.3896222 -3 6.6761384, + -6.5531731 -3 6.5125117, + -6.6761999 -3 6.316586, + -6.7525306 -3 6.0981913, + 5.5627918 -0.80000001 6.901598, + -5.7455001 -0.80000001 6.901598, + -5.7455001 -3 6.901598, + 5.5627918 -3 6.901598, + 6.5952749 -0.80000001 5.9294343, + 5.5627918 -0.80000001 6.901598, + 6.5580087 -0.80000001 6.1490812, + 6.4745727 -0.80000001 6.3556538, + 6.3488383 -0.80000001 6.5395679, + 6.1866384 -0.80000001 6.6922917, + 5.9954967 -0.80000001 6.8067412, + 5.7842817 -0.80000001 6.8776054, + 5.5627918 -3 6.901598, + 6.5952749 -3 5.9294343, + 6.5580087 -3 6.1490812, + 6.4745727 -3 6.3556538, + 6.3488383 -3 6.5395679, + 6.1866384 -3 6.6922917, + 5.9954967 -3 6.8067412, + 5.7842817 -3 6.8776054, + 6.5952749 -3 5.9294343, + 5.5627918 -3 6.901598, + 6.5580087 -3 6.1490812, + 6.4745727 -3 6.3556538, + 6.3488383 -3 6.5395679, + 6.1866384 -3 6.6922917, + 5.9954967 -3 6.8067412, + 5.7842817 -3 6.8776054, + -5.7455001 -3 6.901598, + -6.7783346 -3 5.8682857, + -5.9753938 -3 6.8756876, + -6.1937532 -3 6.7992563, + -6.3896222 -3 6.6761384, + -6.5531731 -3 6.5125117, + -6.6761999 -3 6.316586, + -6.7525306 -3 6.0981913, + -6.7783341 -3 -5.4211831, + -5.7470732 -3 -6.4631901, + -6.7525496 -3 -5.6515594, + -6.6764727 -3 -5.8705344, + -6.5538673 -3 -6.0672727, + -6.3908014 -3 -6.232038, + -6.1953449 -3 -6.3566756, + -5.97717 -3 -6.435019, + 5.5644345 -3 -6.4628372, + 6.595273 -3 -5.4208307, + 5.7944613 -3 -6.434586, + 6.0125551 -3 -6.3561926, + 6.2079287 -3 -6.2315354, + 6.3709188 -3 -6.0667796, + 6.4934635 -3 -5.8700738, + 6.5695019 -3 -5.6511483, + 0.40864584 -3 5.4234247, + 0.40864584 -3 5.2234244, + -0.59135419 -3 5.2234244, + -0.59135419 -3 5.4234247, + -6.5529423 -3 0.22342463, + -6.5253372 -3 0.45077449, + -6.4441257 -3 0.66491163, + -6.3140278 -3 0.85339117, + -6.1426039 -3 1.0052593, + -5.939817 -3 1.11169, + -5.7174525 -3 1.1664981, + -5.4884324 -3 1.1664981, + -5.266068 -3 1.11169, + -5.0632811 -3 1.0052593, + -4.8918571 -3 0.85339117, + -4.7617593 -3 0.66491163, + -4.6805477 -3 0.45077449, + -4.6529427 -3 0.22342463, + -4.6805477 -3 -0.0039252602, + -4.7617593 -3 -0.21806239, + -4.8918571 -3 -0.40654191, + -5.0632811 -3 -0.55841005, + -5.266068 -3 -0.66484082, + -5.4884324 -3 -0.71964884, + -5.7174525 -3 -0.71964884, + -5.939817 -3 -0.66484082, + -6.1426039 -3 -0.55841005, + -6.3140278 -3 -0.40654191, + -6.4441257 -3 -0.21806239, + -6.5253372 -3 -0.0039252602, + -4.5913544 -3 4.1241384, + -4.5913544 -3 3.9241383, + -5.5913544 -3 3.9241383, + -5.5913544 -3 4.1241384, + 4.4379425 -3 0.22342463, + 4.465548 -3 0.45077449, + 4.5467596 -3 0.66491163, + 4.6768575 -3 0.85339117, + 4.8482809 -3 1.0052593, + 5.0510678 -3 1.11169, + 5.2734327 -3 1.1664981, + 5.5024524 -3 1.1664981, + 5.7248173 -3 1.11169, + 5.9276042 -3 1.0052593, + 6.0990276 -3 0.85339117, + 6.229126 -3 0.66491163, + 6.3103375 -3 0.45077449, + 6.3379426 -3 0.22342463, + 6.3103375 -3 -0.0039252602, + 6.229126 -3 -0.21806239, + 6.0990276 -3 -0.40654191, + 5.9276042 -3 -0.55841005, + 5.7248173 -3 -0.66484082, + 5.5024524 -3 -0.71964884, + 5.2734327 -3 -0.71964884, + 5.0510678 -3 -0.66484082, + 4.8482809 -3 -0.55841005, + 4.6768575 -3 -0.40654191, + 4.5467596 -3 -0.21806239, + 4.465548 -3 -0.0039252602, + -1.8029424 -3 0.22342463, + -1.7535435 -3 0.63026124, + -1.6082177 -3 1.013454, + -1.3754107 -3 1.3507332, + -1.0686525 -3 1.6224972, + -0.70577073 -3 1.8129523, + -0.3078548 -3 1.9110297, + 0.10196991 -3 1.9110297, + 0.49988586 -3 1.8129523, + 0.86276764 -3 1.6224972, + 1.1695259 -3 1.3507332, + 1.4023328 -3 1.013454, + 1.5476587 -3 0.63026124, + 1.5970576 -3 0.22342463, + 1.5476587 -3 -0.18341202, + 1.4023328 -3 -0.56660479, + 1.1695259 -3 -0.90388387, + 0.86276764 -3 -1.175648, + 0.49988586 -3 -1.3661029, + 0.10196991 -3 -1.4641805, + -0.3078548 -3 -1.4641805, + -0.70577073 -3 -1.3661029, + -1.0686525 -3 -1.175648, + -1.3754107 -3 -0.90388387, + -1.6082177 -3 -0.56660479, + -1.7535435 -3 -0.18341202, + 5.2077026 -3 1.5123882, + 5.2078972 -3 1.5116055, + 5.2078972 -3 1.5112522, + -3.0781472 -3 -2.1777444, + -3.0785 -3 -2.1777444, + -3.159286 -3 -2.1470528, + 6.5952749 -0.80000001 5.9294343, + 5.5627918 -0.80000001 6.901598, + 6.5580087 -0.80000001 6.1490812, + 6.4745727 -0.80000001 6.3556538, + 6.3488383 -0.80000001 6.5395679, + 6.1866384 -0.80000001 6.6922917, + 5.9954967 -0.80000001 6.8067412, + 5.7842817 -0.80000001 6.8776054, + 6.595273 -0.80000001 -5.4208307, + 5.5644345 -0.80000001 -6.4628372, + 5.7944613 -0.80000001 -6.434586, + 6.0125551 -0.80000001 -6.3561926, + 6.2079287 -0.80000001 -6.2315354, + 6.3709188 -0.80000001 -6.0667796, + 6.4934635 -0.80000001 -5.8700738, + 6.5695019 -0.80000001 -5.6511483, + -5.7470732 -0.80000001 -6.4631901, + -6.7783341 -0.80000001 -5.4211831, + -6.7525496 -0.80000001 -5.6515594, + -6.6764727 -0.80000001 -5.8705344, + -6.5538673 -0.80000001 -6.0672727, + -6.3908014 -0.80000001 -6.232038, + -6.1953449 -0.80000001 -6.3566756, + -5.97717 -0.80000001 -6.435019, + -6.7783346 -0.80000001 5.8682857, + -5.7455001 -0.80000001 6.901598, + -5.9753938 -0.80000001 6.8756876, + -6.1937532 -0.80000001 6.7992563, + -6.3896222 -0.80000001 6.6761384, + -6.5531731 -0.80000001 6.5125117, + -6.6761999 -0.80000001 6.316586, + -6.7525306 -0.80000001 6.0981913, + 5.2077026 -0.80000001 1.5123882, + 5.2078972 -0.80000001 1.5116055, + 5.2078972 -0.80000001 1.5112522, + -3.0781472 -0.80000001 -2.1777444, + -3.0785 -0.80000001 -2.1777444, + -3.159286 -0.80000001 -2.1470528, + 5.2033286 0.40000001 2.1192229, + 5.2078977 0.40000001 2.1395485, + 5.2078977 0.40000001 2.1399031, + 5.1333461 0.40000001 1.9582533, + 5.187789 0.40000001 2.0488861, + 5.1874371 0.40000001 2.048532, + 5.1256418 0.40000001 1.9406461, + 5.1267581 0.40000001 1.9472861, + 5.1230364 0.40000001 1.7262962, + 5.106297 0.40000001 1.8255777, + 5.1609392 0.40000001 1.6415906, + 5.1267581 0.40000001 1.7042222, + 5.1969366 0.40000001 1.5556406, + 5.187789 0.40000001 1.5923916, + 5.2077026 0.40000001 1.5123882, + -3.159286 0.40000001 -2.1470528, + -3.0785 0.40000001 -2.1777444, + -3.0781472 0.40000001 -2.1777444, + 5.5627918 0.40000001 6.901598, + -5.7455001 0.40000001 6.901598, + 5.5627918 2 6.6194749, + -5.7455001 2 6.6194749, + 6.5952749 0.40000001 5.9294343, + 6.3131514 2 5.9202704, + 6.595273 0.40000001 -5.4208307, + 6.3131499 2 -5.4208307, + 5.5644345 0.40000001 -6.4628372, + 5.5627909 2 -6.1807141, + -5.7470732 0.40000001 -6.4631901, + -5.7455001 2 -6.1810665, + -6.7783341 0.40000001 -5.4211831, + -6.4962111 2 -5.4211831, + -6.7783346 0.40000001 5.8682857, + -6.4962111 2 5.8694696, + 5.1609392 0 1.6415906, + 5.1267581 0 1.7042222, + 5.1230364 0 1.7262962, + 5.1256418 0 1.9406461, + 5.1267581 0 1.9472861, + 5.1333461 0 1.9582533, + 5.1874371 0 2.048532, + 5.2033286 0 2.1192229, + 5.2078977 0 2.1399031, + 5.2078977 0 2.1395485, + 5.187789 0 2.0488861, + 5.106297 0 1.8255777, + 5.187789 0 1.5923916, + 5.1969366 0 1.5556406, + 5.2077026 0 1.5123882, + -6.8408751 0 -5.3802609, + -6.9932752 0 -5.5220776, + -6.8408751 0.40000001 -5.3802609, + -6.9932752 0.40000001 -5.5220776, + -6.9932752 0 -5.9171891, + -6.9932752 0.40000001 -5.9171891, + -6.2429166 0 -6.6774249, + 6.0595026 0 -6.6774249, + -6.2429166 0.40000001 -6.6774249, + 6.0595026 0.40000001 -6.6774249, + 6.810214 0 -5.9171891, + 6.810214 0 -5.5220776, + 6.810214 0.40000001 -5.9171891, + 6.810214 0.40000001 -5.5220776, + 6.6581669 0 -5.3802609, + 6.6581669 0.40000001 -5.3802609, + 6.6581669 0 5.8190222, + 6.810214 0 5.9710693, + 6.6581669 0.40000001 5.8190222, + 6.810214 0.40000001 5.9710693, + 6.810214 0 6.3661804, + 6.810214 0.40000001 6.3661804, + 6.0595026 0 7.116539, + 6.0090556 0 7.1264167, + 6.0595026 0.40000001 7.116539, + 6.0090556 0.40000001 7.1264167, + -6.1917639 0 7.1264167, + -6.1917639 0.40000001 7.1264167, + -6.9932752 0 6.3661804, + -6.9932752 0 5.9710693, + -6.9932752 0.40000001 6.3661804, + -6.9932752 0.40000001 5.9710693, + -6.8408751 0 5.8190222, + -6.8408751 0.40000001 5.8190222, + -6.9629359 0.40000001 6.5587974, + -6.8814445 0.40000001 6.7309527, + -6.7597361 0.40000001 6.8932304, + -6.6080418 0.40000001 7.0050611, + -6.4355335 0.40000001 7.0858474, + -6.2429166 0.40000001 7.116539, + 6.2524724 0.40000001 7.0858474, + 6.4249806 0.40000001 7.0050611, + 6.5770278 0.40000001 6.8932304, + 6.6987362 0.40000001 6.7309527, + 6.7795224 0.40000001 6.5587974, + 6.7795224 0.40000001 -6.1101584, + 6.6987362 0.40000001 -6.2925444, + 6.5770278 0.40000001 -6.4445915, + 6.4249806 0.40000001 -6.5560694, + 6.2524724 0.40000001 -6.6368556, + -6.4355335 0.40000001 -6.6368556, + -6.6080418 0.40000001 -6.5560694, + -6.7597361 0.40000001 -6.4445915, + -6.8814445 0.40000001 -6.2925444, + -6.9629359 0.40000001 -6.1101584, + 6.6581669 0 -4.9138889, + 6.6581669 0 -1.6401111, + 7.1651082 0 -1.6401111, + 7.1651082 0 -4.9138889, + 6.6581669 0 5.5251584, + 6.7809606 0 6.5497704, + 6.7795224 0 6.5587974, + 6.7761669 0 6.565948, + 6.5770278 0 6.8932304, + 6.2597265 0 7.0824499, + 6.2524724 0 7.0858474, + 6.2433858 0 7.0872927, + -6.7597361 0 6.8932304, + -6.9545608 0 6.5764909, + -6.9629359 0 6.5587974, + -6.9663692 0 6.5370007, + -6.8408751 0 5.5251584, + -7.3989697 0 5.5251584, + -7.5915861 0 5.7280054, + -7.5915861 0 6.2243648, + -6.0905166 0 7.7243748, + 5.9078083 0 7.7243748, + 7.3982935 0 6.4571977, + 7.4088778 0 5.7280054, + 7.2159085 0 5.5251584, + -6.8408751 0 5.3628807, + -6.8408751 0 2.0891027, + -7.3478165 0 2.0891027, + -7.3478165 0 5.3526502, + 6.6581669 0 -5.0761666, + 7.2159085 0 -5.0761666, + 7.4088778 0 -5.2790141, + 7.3986473 0 -6.0085588, + 5.8614554 0 -7.2793155, + -6.0905166 0 -7.2757363, + -7.5817084 0 -6.0089111, + -7.5915861 0 -5.2790141, + -7.3989697 0 -5.0761666, + -6.8408751 0 -5.0761666, + -6.9701371 0 -6.0643554, + -6.9629359 0 -6.1101584, + -6.9265375 0 -6.1916218, + -6.9215274 0 -6.2028351, + -6.8814445 0 -6.2925444, + -6.8205905 0 -6.3685679, + -6.8117452 0 -6.3796182, + -6.7597361 0 -6.4445915, + -6.7285028 0 -6.4675446, + 6.5661478 0 -6.4525685, + 6.5770278 0 -6.4445915, + 6.589469 0 -6.429049, + 6.6754875 0 -6.3215885, + 6.6987362 0 -6.2925444, + 6.7146974 0 -6.2565098, + 6.7655811 0 -6.1416326, + 6.7795224 0 -6.1101584, + 6.7839518 0 -6.0823092, + 6.6581669 -0.80000001 -5.0761666, + 6.6581669 -0.80000001 5.5251584, + 6.6581669 -0.80000001 -1.6401111, + 6.6581669 -0.80000001 -4.9138889, + 6.7839518 -0.80000001 -6.0823092, + 6.810214 -0.80000001 -5.9171891, + 6.7655811 -0.80000001 -6.1416326, + 6.7146974 -0.80000001 -6.2565098, + 6.6754875 -0.80000001 -6.3215885, + 6.589469 -0.80000001 -6.429049, + 6.5661478 -0.80000001 -6.4525685, + 6.4249806 -0.80000001 -6.5560694, + -6.7285028 -0.80000001 -6.4675446, + -6.6080418 -0.80000001 -6.5560694, + -6.8117452 -0.80000001 -6.3796182, + -6.8205905 -0.80000001 -6.3685679, + -6.9215274 -0.80000001 -6.2028351, + -6.9265375 -0.80000001 -6.1916218, + -6.9701371 -0.80000001 -6.0643554, + -6.9932752 -0.80000001 -5.9171891, + -6.8408751 -0.80000001 -5.0761666, + -6.8408751 -0.80000001 2.0891027, + -6.8408751 -0.80000001 5.3628807, + -6.8408751 -0.80000001 5.5251584, + -6.9663692 -0.80000001 6.5370007, + -6.9932752 -0.80000001 6.3661804, + -6.9545608 -0.80000001 6.5764909, + -6.8814445 -0.80000001 6.7309527, + 6.2433858 -0.80000001 7.0872927, + 6.0595026 -0.80000001 7.116539, + 6.2597265 -0.80000001 7.0824499, + 6.4249806 -0.80000001 7.0050611, + 6.7761669 -0.80000001 6.565948, + 6.6987362 -0.80000001 6.7309527, + 6.7809606 -0.80000001 6.5497704, + 6.810214 -0.80000001 6.3661804, + -3.159286 -0.80000001 -2.1470528, + -3.0785 -0.80000001 -2.1777444, + -3.0781472 -0.80000001 -2.1777444, + 5.2078972 -0.80000001 1.5114586, + 5.2078972 0.40000001 1.5116055, + 5.2077026 -0.80000001 1.5123882, + 7.1651082 -0.80000001 -1.6401111, + 7.1651082 -0.80000001 -4.9138889, + 6.2524724 -0.80000001 -6.6368556, + 6.0595026 -0.80000001 -6.6774249, + -6.2429166 -0.80000001 -6.6774249, + -6.4355335 -0.80000001 -6.6368556, + -7.3478165 -0.80000001 2.0891027, + -7.3478165 -0.80000001 5.3526502, + -6.7597361 -0.80000001 6.8932304, + -6.6080418 -0.80000001 7.0050611, + -6.4355335 -0.80000001 7.0858474, + -6.2429166 -0.80000001 7.116539, + -6.1917639 -0.80000001 7.1264167, + 6.5770278 -0.80000001 6.8932304, + -7.3989697 -0.80000001 5.5251584, + -7.5915861 -0.80000001 5.7280054, + -7.5915861 -0.80000001 6.2243648, + -6.0905166 -0.80000001 7.7243748, + 5.9078083 -0.80000001 7.7243748, + 7.3982935 -0.80000001 6.4571977, + 7.4088778 -0.80000001 5.7280054, + 7.2159085 -0.80000001 5.5251584, + -7.3989697 -0.80000001 -5.0761666, + -7.5915861 -0.80000001 -5.2790141, + -7.5817084 -0.80000001 -6.0089111, + -6.0905166 -0.80000001 -7.2757363, + 5.8614554 -0.80000001 -7.2793155, + 7.3986473 -0.80000001 -6.0085588, + 7.4088778 -0.80000001 -5.2790141, + 7.2159085 -0.80000001 -5.0761666, + -2.3581278 0 -0.77898055, + -2.3376667 0 -0.62693334, + -2.3376667 0 -0.93102777, + -2.3376667 0.40000001 -0.93102777, + -2.3581278 0.40000001 -0.77898055, + -2.3376667 0.40000001 -0.62693334, + 2.1553111 0.40000001 -0.93102777, + 2.1754193 0.40000001 -0.77898055, + 2.1553111 0.40000001 -0.62693334, + 2.1754193 0 -0.77898055, + 2.1553111 0 -0.93102777, + 2.1553111 0 -0.62693334, + 5.2027893 0 -1.0832255, + 5.2078977 0 -1.0626135, + 5.2078977 0 -1.0629672, + 5.2078977 0.40000001 -1.0629672, + 5.2027893 0.40000001 -1.0832255, + 5.2078977 0.40000001 -1.0626135, + 2.9056695 0.40000001 1.7243305, + 2.6114528 0.40000001 1.7243305, + 2.7638528 0.40000001 1.7042222, + 2.6114528 0 1.7243305, + 2.9056695 0 1.7243305, + 2.7638528 0 1.7042222, + -5.3094668 0 -1.2552305, + -5.305532 0 -1.2786353, + -5.349328 0 -1.1824203, + -5.3163776 0 -1.5109577, + -5.3704977 0 -1.6104777, + -5.3909583 0 -1.6912637, + -5.3909583 0 -1.6909111, + -5.3704972 0 -1.6101249, + -5.308188 0 -1.4907111, + -5.3094668 0 -1.4982945, + -5.3909583 0 -1.0626138, + -5.379652 0 -1.1074499, + -5.3704972 0 -1.1437528, + -5.2890058 0 -1.3769389, + -5.349328 0.40000001 -1.1824203, + -5.3094668 0.40000001 -1.2552305, + -5.379652 0.40000001 -1.1074499, + -5.3909583 0.40000001 -1.0626138, + -5.3704972 0.40000001 -1.1437528, + -5.305532 0.40000001 -1.2786353, + -5.2890058 0.40000001 -1.3769389, + -5.308188 0.40000001 -1.4907111, + -5.3163776 0.40000001 -1.5109577, + -5.3704972 0.40000001 -1.6101249, + -5.3909583 0.40000001 -1.6909111, + -5.3909583 0.40000001 -1.6912637, + -5.3704977 0.40000001 -1.6104777, + -5.3094668 0.40000001 -1.4982945, + -2.7941611 0.40000001 1.7243305, + -3.0883777 0.40000001 1.7243305, + -2.9465611 0.40000001 1.7042222, + -3.0883777 0 1.7243305, + -2.7941611 0 1.7243305, + -2.9465611 0 1.7042222, + -2.6318834 0 3.3358195, + -2.6317186 0 3.3354874, + -2.6424668 0 3.34605, + -2.6220055 0 3.3259416, + -2.6117749 0 3.3054805, + -2.6117749 0 3.2952499, + -2.6424668 0.40000001 3.34605, + -2.6318834 0.40000001 3.3358195, + -2.6317186 0.40000001 3.3354874, + -2.6117749 0.40000001 3.2952499, + -2.6117749 0.40000001 3.3054805, + -2.6220055 0.40000001 3.3259416, + 2.1553111 0.40000001 1.075925, + 2.1754193 0.40000001 1.2279723, + 2.1553111 0.40000001 1.3697889, + 2.1754193 0 1.2279723, + 2.1553111 0 1.075925, + 2.1553111 0 1.3697889, + -5.3803749 0 2.5046749, + -5.3909583 0 2.4235361, + -5.3909583 0 2.4238889, + -5.3909583 0.40000001 2.4238889, + -5.3803749 0.40000001 2.5046749, + -5.3909583 0.40000001 2.4235361, + 2.9056695 0.40000001 -1.2756916, + 2.7638528 0.40000001 -1.2552305, + 2.6114528 0.40000001 -1.2756916, + 2.7638528 0 -1.2552305, + 2.9056695 0 -1.2756916, + 2.6114528 0 -1.2756916, + -2.6015444 0 3.2649112, + -2.6015444 0 3.2546806, + -2.6117749 0 3.2751417, + -2.6117749 0 3.2853723, + -2.6117749 0.40000001 3.2853723, + -2.6015444 0.40000001 3.2649112, + -2.6117749 0.40000001 3.2751417, + -2.6015444 0.40000001 3.2546806, + -3.0883777 0.40000001 -1.2756916, + -2.7941611 0.40000001 -1.2756916, + -2.9465611 0.40000001 -1.2552305, + -2.7941611 0 -1.2756916, + -3.0883777 0 -1.2756916, + -2.9465611 0 -1.2552305, + 3.5244417 0 2.6267362, + 3.3715565 0 2.5635107, + 3.44295 0 2.5960445, + 2.9814544 0 2.5938292, + 2.9765778 0 2.5960445, + 2.8957918 0 2.6267362, + 2.9765766 0 2.5963976, + 3.0765228 0 2.5506434, + 3.0949018 0 2.5441685, + 3.0884082 0 2.5452445, + 3.3162684 0 2.5427325, + 3.209764 0 2.525136, + 3.3314722 0 2.5452445, + 3.44295 0.40000001 2.5960445, + 3.5244417 0.40000001 2.6267362, + 3.3715565 0.40000001 2.5635107, + 3.3162684 0.40000001 2.5427325, + 3.209764 0.40000001 2.525136, + 3.0949018 0.40000001 2.5441685, + 3.0765228 0.40000001 2.5506434, + 2.9814544 0.40000001 2.5938292, + 2.9765766 0.40000001 2.5963976, + 2.8957918 0.40000001 2.6267362, + 2.9765778 0.40000001 2.5960445, + 3.0884082 0.40000001 2.5452445, + 3.3314722 0.40000001 2.5452445, + 5.2078977 0.40000001 2.4238887, + 5.1976666 0.40000001 2.5046749, + 5.2078977 0.40000001 2.4235361, + 5.1976666 0 2.5046749, + 5.2078977 0 2.4238887, + 5.2078977 0 2.4235361, + -5.3909583 0 1.5112532, + -5.3909583 0 1.5116055, + -5.3704972 0 1.5923916, + -5.3704972 0.40000001 1.5923916, + -5.3909583 0.40000001 1.5112532, + -5.3909583 0.40000001 1.5116055, + 5.1570973 0.40000001 2.5657055, + 5.0861888 0.40000001 2.6062751, + 5.0152807 0.40000001 2.6267362, + 5.0861888 0.40000001 2.6059222, + 5.0861888 0 2.6062751, + 5.1570973 0 2.5657055, + 5.0861888 0 2.6059222, + 5.0152807 0 2.6267362, + -2.7133751 0 3.4067278, + -2.7027917 0 3.3968501, + -2.6826832 0 3.3866193, + -2.7133751 0 3.3968501, + -2.7334833 0 3.4067278, + -2.662575 0 3.3763888, + -2.6523445 0 3.3661582, + -2.6724527 0 3.3763888, + -2.7334833 0.40000001 3.4067278, + -2.7133751 0.40000001 3.4067278, + -2.7133751 0.40000001 3.3968501, + -2.6826832 0.40000001 3.3866193, + -2.6724527 0.40000001 3.3763888, + -2.6523445 0.40000001 3.3661582, + -2.662575 0.40000001 3.3763888, + -2.7027917 0.40000001 3.3968501, + 3.3621638 2.5 1.7243305, + 3.3621638 2.5 -1.2756916, + 3.3621638 5 1.7243305, + 3.3621638 5 -1.2756916, + 2.1553111 2.5 -1.2756916, + 2.1553111 5 -1.2756916, + 2.1553111 2.5 1.7243305, + 2.1553111 5 1.7243305, + -2.3376667 2.5 1.7243305, + -2.3376667 2.5 -1.2756916, + -2.3376667 5 1.7243305, + -2.3376667 5 -1.2756916, + -3.5448723 2.5 -1.2756916, + -3.5448723 5 -1.2756916, + -3.5448723 2.5 1.7243305, + -3.5448723 5 1.7243305, + -5.197989 2.5 -2.1777444, + -3.70715 2.5 -2.1777444, + -5.197989 5 -2.1777444, + -3.70715 5 -2.1777444, + -3.0785 2.5 -2.1777444, + -3.0785 5 -2.1777444, + 2.8957918 2.5 -2.1777444, + 2.8957918 5 -2.1777444, + 3.5244417 2.5 -2.1777444, + 3.5244417 5 -2.1777444, + 5.0152807 2.5 -2.1777444, + 5.0152807 5 -2.1777444, + 5.2078972 2.5 -1.9748973, + 5.2078972 5 -1.9748973, + 5.2078972 2.5 -1.6909111, + 5.2078972 5 -1.6909111, + 5.2078977 2.5 -1.0629672, + 5.2078977 5 -1.0629672, + 5.2078977 2.5 1.5112519, + 5.2078977 5 1.5112519, + 5.2078977 2.5 2.1395485, + 5.2078977 5 2.1395485, + 5.2078977 2.5 2.4238887, + 5.2078977 5 2.4238887, + 5.0152807 2.5 2.6267362, + 5.0152807 5 2.6267362, + 3.5244417 2.5 2.6267362, + 3.5244417 5 2.6267362, + 2.8957918 2.5 2.6267362, + 2.8957918 5 2.6267362, + -3.70715 2.5 2.6267362, + -3.70715 5 2.6267362, + -3.0781472 5 2.6267362, + -3.0781472 2.5 2.6267362, + -5.197989 2.5 2.6267362, + -5.197989 5 2.6267362, + -5.3909583 2.5 2.4238889, + -5.3909583 5 2.4238889, + -5.3909583 2.5 2.1399028, + -5.3909583 5 2.1399028, + -5.3909583 2.5 1.5112532, + -5.3909583 5 1.5112532, + -5.3909583 2.5 -1.0629666, + -5.3909583 5 -1.0629666, + -5.3909583 2.5 -1.6912638, + -5.3909583 5 -1.6912638, + -5.3909583 2.5 -1.97525, + -5.3909583 5 -1.97525, + -1.95 5 2.6267362, + -1.7393556 5 2.819, + -1.7393556 5 3.5690055, + -1.5467389 5 3.7715001, + 1.3640306 5 3.7715001, + 1.557 5 3.5690055, + 1.557 5 2.819, + 1.7594944 5 2.6267362, + 1.557 2 2.819, + 1.557 2 3.5690055, + 1.3640306 2 3.7715001, + -1.5467389 2 3.7715001, + -1.7393556 2 3.5690055, + -1.7393556 2 2.819, + -1.95 2 2.6267362, + -2.6015444 2 2.6267362, + -2.6015444 2.5 2.6267362, + -2.6015444 2 3.2345722, + -2.6015444 2.5 3.2345722, + -2.7334833 2 3.4067278, + -2.7334833 2.5 3.4067278, + -5.197989 2 3.4067273, + -5.197989 2.5 3.4067273, + -6.1818862 2 2.4238889, + -6.1818862 2.5 2.4238889, + -6.1822391 2 -1.9752507, + -6.1822391 2.5 -1.9752507, + -5.1983418 2 -2.9679666, + -5.1983418 2.5 -2.9679666, + -5.1983418 2 -3.7687721, + -5.1983418 2.5 -3.7687721, + -4.6906943 2 -3.7687721, + -4.6906943 2.5 -3.7687721, + -4.6906943 2 -2.9679666, + -4.6906943 2.5 -2.9679666, + 4.5079861 2 -2.9679666, + 4.5079861 2.5 -2.9679666, + 4.5079861 2 -3.7687721, + 4.5079861 2.5 -3.7687721, + 5.0152807 2 -3.7687721, + 5.0152807 2.5 -3.7687721, + 5.0149279 2 -2.9676139, + 5.0149279 2.5 -2.9676139, + 5.9991779 2 -1.9748973, + 5.9991779 2.5 -1.9748973, + 5.9991779 2 2.4238889, + 5.9991779 2.5 2.4238889, + 5.0152807 2 3.4067278, + 5.0152807 2.5 3.4067278, + 2.5909917 2 3.4067278, + 2.5909917 2.5 3.4067278, + 2.4188361 2 3.2345722, + 2.4188361 2.5 3.2345722, + 2.4188361 2 2.6267362, + 2.4188361 2.5 2.6267362, + 1.7594944 2 2.6267362, + -5.5913544 -5.5999999 3.9241383, + -5.1913543 -6 3.9241383, + -5.1913543 -6 4.1241384, + -5.5913544 -5.5999999 4.1241384, + -4.991354 -6 3.9241383, + -4.991354 -6 4.1241384, + -5.5913544 -3 3.9241383, + -4.5913544 -3 3.9241383, + -4.5913544 -5.5999999 3.9241383, + -4.5913544 -5.5999999 4.1241384, + -4.5913544 -3 4.1241384, + -5.5913544 -3 4.1241384, + -0.59135419 -5.5999999 5.2234244, + -0.19135417 -6 5.2234244, + -0.19135417 -6 5.4234247, + -0.59135419 -5.5999999 5.4234247, + 0.0086458335 -6 5.2234244, + 0.0086458335 -6 5.4234247, + -0.59135419 -3 5.2234244, + 0.40864584 -3 5.2234244, + 0.40864584 -5.5999999 5.2234244, + 0.40864584 -5.5999999 5.4234247, + 0.40864584 -3 5.4234247, + -0.59135419 -3 5.4234247, + -1.3029424 -6 0.22342463, + -1.8029424 -5.5 0.22342463, + -1.8029424 -3 0.22342463, + -6.5529423 -6 0.22342463, + -6.5529423 -3 0.22342463, + 4.4379425 -6 0.22342463, + 4.4379425 -3 0.22342463, + -3.159286 -0.80000001 -2.1470528, + -3.0781472 -0.80000001 -2.1777444, + -3.0781472 -3 -2.1777444, + -3.159286 -3 -2.1470528, + -3.0785 -0.80000001 -2.1777444, + -3.0785 -3 -2.1777444, + 5.2078972 -0.80000001 1.5112522, + 5.2077026 -0.80000001 1.5123882, + 5.2077026 -3 1.5123882, + 5.2078972 -3 1.5112522, + 5.2078972 -0.80000001 1.5116055, + 5.2078972 -3 1.5116055, + 6.595273 -0.80000001 -5.4208307, + 6.5952749 -0.80000001 5.9294343, + 6.5952749 -3 5.9294343, + 6.595273 -3 -5.4208307, + 5.5644345 -0.80000001 -6.4628372, + 5.5644345 -3 -6.4628372, + -5.7470732 -0.80000001 -6.4631901, + -5.7470732 -3 -6.4631901, + -6.7783341 -0.80000001 -5.4211831, + -6.7783341 -3 -5.4211831, + -6.7783346 -0.80000001 5.8682857, + -6.7783346 -3 5.8682857, + -5.7455001 -0.80000001 6.901598, + -5.7455001 -3 6.901598, + 5.5627918 -0.80000001 6.901598, + 5.5627918 -3 6.901598 ] + + } + coordIndex [ 0, 1, -1, 1, 2, -1, 2, 0, + -1, 3, 4, -1, 4, 5, -1, 5, + 6, -1, 6, 3, -1, 7, 8, -1, + 8, 9, -1, 9, 7, -1, 10, 11, + -1, 11, 12, -1, 12, 13, 14, 15, + 10, -1, 16, 17, -1, 17, 18, -1, + 18, 16, -1, 19, 20, -1, 20, 21, + -1, 21, 19, -1, 218, 217, -1, 222, + 221, -1, 22, 23, -1, 23, 24, -1, + 24, 22, -1, 25, 26, -1, 27, 25, + -1, 27, 28, -1, 28, 26, -1, 29, + 31, 32, 33, 34, 35, 36, 30, -1, + 37, 29, -1, 38, 39, 40, 41, 42, + 43, 44, 37, -1, 45, 46, -1, 47, + 45, -1, 47, 48, -1, 49, 51, 52, + 53, 54, 55, 56, 50, -1, 57, 49, + -1, 58, 59, 60, 61, 62, 63, 64, + 57, -1, 65, 66, -1, 67, 65, -1, + 67, 68, -1, 69, 71, 72, 73, 74, + 75, 76, 70, -1, 77, 69, -1, 78, + 79, 80, 81, 82, 83, 84, 77, -1, + 85, 86, -1, 87, 85, -1, 87, 88, + -1, 89, 91, 92, 93, 94, 95, 96, + 90, -1, 98, 99, 100, 101, 102, 103, + 104, 97, -1, 137, 138, -1, 137, 139, + -1, 138, 140, -1, 141, 142, -1, 142, + 144, -1, 145, 147, 148, 149, 146, -1, + 146, 151, -1, 155, 156, -1, 156, 158, + -1, 159, 160, -1, 160, 162, -1, 163, + 164, -1, 164, 166, -1, 167, 168, -1, + 168, 170, -1, 171, 172, -1, 172, 174, + -1, 175, 176, -1, 176, 178, -1, 179, + 180, -1, 180, 182, -1, 183, 184, -1, + 184, 186, -1, 187, 188, -1, 188, 190, + -1, 191, 192, -1, 192, 194, -1, 195, + 196, -1, 196, 198, -1, 199, 200, -1, + 200, 202, -1, 203, 204, -1, 204, 206, + -1, 207, 208, -1, 208, 210, -1, 211, + 212, -1, 212, 214, -1, 215, 216, -1, + 216, 218, -1, 219, 220, -1, 219, 221, + -1, 220, 222, -1, 223, 224, -1, 227, + 228, -1, 227, 229, -1, 230, 229, -1, + 228, 230, -1, 231, 232, -1, 234, 233, + -1, 232, 234, -1, 235, 236, -1, 235, + 237, -1, 238, 237, -1, 236, 238, -1, + 239, 240, -1, 239, 241, -1, 242, 241, + -1, 240, 242, -1, 243, 244, -1, 246, + 245, -1, 244, 246, -1, 247, 248, -1, + 247, 249, -1, 250, 249, -1, 248, 250, + -1, 251, 252, -1, 254, 253, -1, 252, + 254, -1, 255, 256, -1, 255, 257, -1, + 258, 257, -1, 256, 258, -1, 259, 260, + -1, 262, 261, -1, 260, 262, -1, 263, + 264, -1, 263, 265, -1, 266, 265, -1, + 264, 266, -1, 267, 268, -1, 270, 269, + -1, 268, 270, -1, 273, 274, -1, 274, + 275, -1, 275, 276, -1, 276, 277, -1, + 277, 278, -1, 278, 279, -1, 279, 280, + -1, 282, 283, -1, 283, 284, -1, 284, + 285, -1, 285, 286, -1, 286, 287, -1, + 287, 288, -1, 290, 291, -1, 293, 294, + -1, 294, 295, -1, 295, 296, -1, 296, + 297, -1, 297, 298, -1, 298, 299, -1, + 300, 301, -1, 301, 302, -1, 302, 303, + -1, 303, 304, -1, 304, 305, -1, 305, + 306, -1, 308, 271, -1, 341, 342, -1, + 342, 343, -1, 343, 344, -1, 344, 341, + -1, 345, 346, -1, 349, 350, 351, 348, + -1, 349, 352, -1, 352, 353, -1, 354, + 355, 356, 353, -1, 357, 358, 359, 354, + -1, 357, 360, -1, 360, 361, -1, 362, + 363, 364, 361, -1, 367, 368, 369, 370, + 366, -1, 371, 372, 373, 367, -1, 371, + 374, -1, 374, 375, -1, 376, 377, 378, + 375, -1, 380, 381, -1, 382, 381, -1, + 382, 384, 385, 386, 387, 388, 389, 383, + -1, 383, 390, -1, 390, 392, 393, 394, + 395, 396, 397, 391, -1, 398, 391, -1, + 398, 400, 401, 402, 403, 404, 399, -1, + 405, 399, -1, 405, 407, 408, 409, 410, + 411, 412, 406, -1, 345, 406, -1, 413, + 414, -1, 414, 415, -1, 415, 416, -1, + 416, 413, -1, 419, 420, -1, 420, 421, + -1, 421, 423, 424, 425, 426, 427, 428, + 422, -1, 429, 422, -1, 429, 431, 432, + 433, 434, 435, 430, -1, 430, 436, -1, + 436, 438, 439, 440, 441, 442, 437, -1, + 437, 443, -1, 443, 445, 446, 447, 448, + 449, 450, 444, -1, 444, 451, -1, 451, + 452, -1, 455, 456, 457, 454, -1, 455, + 458, -1, 458, 459, -1, 460, 461, 462, + 459, -1, 460, 463, -1, 463, 464, -1, + 465, 466, 467, 464, -1, 465, 468, -1, + 468, 469, -1, 470, 471, 472, 469, -1, + 474, 475, 476, 473, -1, 474, 477, -1, + 477, 478, -1, 479, 480, 481, 478, -1, + 479, 482, -1, 482, 483, -1, 484, 485, + 486, 483, -1, 484, 487, -1, 487, 488, + -1, 417, 489, 490, 488, -1, 513, 514, + -1, 519, 520, -1, 521, 520, -1, 522, + 521, -1, 523, 524, -1, 514, 524, -1, + 525, 526, -1, 527, 528, -1, 530, 531, + -1, 526, 531, -1, 532, 533, -1, 534, + 532, -1, 535, 534, -1, 536, 537, -1, + 540, 541, -1, 542, 540, -1, 543, 542, + -1, 544, 545, -1, 548, 549, -1, 550, + 548, -1, 550, 551, -1, 554, 555, -1, + 556, 557, -1, 559, 558, -1, 555, 559, + -1, 560, 561, -1, 562, 560, -1, 563, + 562, -1, 564, 565, -1, 568, 569, -1, + 570, 568, -1, 571, 570, -1, 572, 573, + -1, 576, 577, -1, 578, 576, -1, 579, + 578, -1, 583, 584, -1, 585, 584, -1, + 586, 585, -1, 587, 588, -1, 589, 588, + -1, 590, 589, -1, 595, 596, -1, 597, + 598, -1, 600, 601, -1, 596, 601, -1, + 602, 603, -1, 604, 602, -1, 604, 605, + -1, 608, 609, -1, 610, 611, -1, 613, + 614, -1, 609, 614, -1, 615, 616, -1, + 617, 615, -1, 617, 618, -1, 621, 622, + -1, 623, 624, -1, 626, 625, -1, 622, + 626, -1, 627, 628, -1, 629, 627, -1, + 630, 629, -1, 634, 635, -1, 636, 634, + -1, 636, 637, -1, 640, 638, -1, 641, + 640, -1, 644, 643, -1, 646, 647, -1, + 648, 646, -1, 649, 648, -1, 647, 650, + -1, 653, 652, -1, 659, 658, -1, 657, + 659, -1, 663, 662, -1, 661, 663, -1, + 667, 666, -1, 670, 668, -1, 670, 671, + -1, 674, 672, -1, 675, 674, -1, 677, + 678, -1, 679, 678, -1, 679, 680, -1, + 684, 682, -1, 689, 688, -1, 687, 689, + -1, 693, 692, -1, 691, 693, -1, 697, + 696, -1, 700, 698, -1, 701, 700, -1, + 701, 702, -1, 705, 704, -1, 705, 706, + -1, 710, 708, -1, 710, 711, -1, 714, + 712, -1, 714, 715, -1, 718, 716, -1, + 719, 718, -1, 723, 721, -1, 724, 723, + -1, 724, 725, -1, 728, 727, -1, 731, + 733, 734, 735, 736, 737, 738, 732, -1, + 740, 731, -1, 744, 746, 747, 748, 745, + -1, 753, 755, 756, 754, -1, 761, 763, + 764, 765, 762, -1, 767, 761, -1, 770, + 772, 773, 774, 771, -1, 779, 787, -1, + 788, 789, 790, 791, 792, 793, 794, 787, + -1, 780, 788, -1, 798, 797, -1, 796, + 798, -1, 808, 809, 810, 811, 812, 813, + 814, 815, 816, 817, 818, 819, 820, 821, + 822, 823, 824, 825, 807, -1, 800, 808, + -1, 829, 828, -1, 826, 829, -1, 838, + 839, 840, 841, 842, 843, 844, 845, 846, + 847, 848, 849, 850, 851, 852, 853, 837, + -1, 831, 838, -1, 857, 856, -1, 854, + 857, -1, 867, 868, 869, 870, 871, 872, + 873, 866, -1, 859, 867, -1, 877, 876, + -1, 882, 884, 885, 883, -1, 890, 892, + 893, 891, -1, 897, 896, -1, 898, 900, + 901, 902, 903, 904, 899, -1, 909, 911, + 912, 910, -1, 917, 919, 920, 918, -1, + 988, 989, -1, 989, 991, 992, 993, 994, + 995, 996, 990, -1, 990, 997, -1, 997, + 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, + 1007, 1008, 1009, 1010, 1011, 1012, 1013, 998, + -1, 998, 1014, -1, 1014, 1016, 1017, 1018, + 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, + 1027, 1028, 1029, 1030, 1031, 1015, -1, 1015, + 1032, -1, 1032, 1034, 1035, 1036, 1037, 1038, + 1039, 1033, -1, 1033, 925, -1, 1040, 1042, + 1043, 1041, -1, 1061, 1063, 1064, 1065, 1066, + 1067, 1062, -1, 1070, 1072, 1073, 1071, -1, + 1074, 1076, 1077, 1075, -1, 1078, 1080, 1081, + 1079, -1, 1151, 1153, -1, 1155, 1163, -1, + 1170, 1173, -1, 1175, 1182, -1, 1200, 1202, + -1, 1204, 1211, -1, 1228, 1230, -1, 1232, + 1240, -1, 1270, 1271, -1, 1271, 1272, -1, + 1272, 1270, -1, 1273, 1275, -1, 1276, 1275, + -1, 1274, 1276, -1, 1277, 1279, -1, 1280, + 1279, -1, 1284, 1283, -1, 1288, 1289, -1, + 1289, 1290, -1, 1290, 1288, -1, 1291, 1292, + -1, 1291, 1293, -1, 1292, 1294, -1, 1295, + 1296, -1, 1296, 1298, -1, 1299, 1300, -1, + 1306, 1307, -1, 1307, 1308, -1, 1308, 1306, + -1, 1309, 1311, -1, 1312, 1311, -1, 1310, + 1312, -1, 1313, 1315, -1, 1316, 1315, -1, + 1320, 1319, -1, 1324, 1325, -1, 1325, 1326, + -1, 1326, 1324, -1, 1327, 1328, -1, 1327, + 1329, -1, 1328, 1330, -1, 1331, 1332, -1, + 1332, 1334, -1, 1335, 1336, -1, 1342, 1343, + -1, 1343, 1344, -1, 1344, 1342, -1, 1345, + 1346, -1, 1346, 1347, -1, 1347, 1348, -1, + 1348, 1349, -1, 1349, 1345, -1, 1350, 1351, + -1, 1351, 1352, -1, 1352, 1350, -1, 1370, + 1371, -1, 1374, 1375, -1, 1353, 1354, -1, + 1354, 1355, -1, 1355, 1353, -1, 1356, 1358, + 1359, 1360, 1357, -1, 1357, 1361, -1, 1361, + 1356, -1, 1362, 1364, -1, 1365, 1364, -1, + 1363, 1365, -1, 1366, 1368, -1, 1369, 1368, + -1, 1370, 1372, -1, 1373, 1372, -1, 1374, + 1376, -1, 1377, 1376, -1, 1378, 1380, -1, + 1381, 1380, -1, 1382, 1384, -1, 1385, 1384, + -1, 1386, 1388, -1, 1389, 1388, -1, 1390, + 1392, -1, 1393, 1392, -1, 1394, 1396, -1, + 1397, 1396, -1, 1398, 1400, -1, 1401, 1400, + -1, 1402, 1404, -1, 1405, 1404, -1, 1406, + 1408, -1, 1409, 1408, -1, 1410, 1412, -1, + 1413, 1412, -1, 1414, 1416, -1, 1417, 1416, + -1, 1418, 1420, -1, 1421, 1420, -1, 1422, + 1424, -1, 1425, 1424, -1, 1426, 1428, -1, + 1429, 1428, -1, 1430, 1435, -1, 1436, 1437, + 1438, 1439, 1435, -1, 1443, 1442, -1, 1464, + 1465, -1, 1465, 1466, -1, 1466, 1464, -1, + 1467, 1468, -1, 1467, 1469, -1, 1468, 1470, + -1, 1471, 1472, -1, 1472, 1474, -1, 1475, + 1476, -1, 1482, 1483, -1, 1483, 1484, -1, + 1484, 1482, -1, 1485, 1486, -1, 1486, 1487, + -1, 1487, 1488, -1, 1488, 1485, -1, 1489, + 1491, -1, 1492, 1491, -1, 1490, 1492, -1, + 1493, 1495, -1, 1496, 1495, -1, 1497, 1499, + -1, 1500, 1499, -1, 1501, 1503, -1, 1504, + 1503, -1, 1505, 1507, -1, 1508, 1507, -1, + 1509, 1511, -1, 1512, 1511, -1, 1516, 1515, + -1, 1524, 1525, -1, 1525, 1526, -1, 1526, + 1524, -1, 1527, 1528, -1, 1527, 1529, -1, + 1528, 1530, -1, 1531, 1532, -1, 1532, 1534, + -1, 1535, 1536, -1, 1542, 1543, -1, 1543, + 1544, -1, 1544, 1542, -1, 1545, 1547, -1, + 1548, 1547, -1, 1546, 1548, -1, 1549, 1551, + -1, 1552, 1551, -1, 1556, 1555, -1, 1560, + 1561, -1, 1561, 1562, -1, 1562, 1560, -1, + 1563, 1564, -1, 1563, 1565, -1, 1564, 1566, + -1, 1567, 1568, -1, 1568, 1570, -1, 1571, + 1572, -1, 1578, 1579, -1, 1579, 1580, -1, + 1580, 1581, -1, 1581, 1578, -1, 1582, 1584, + -1, 1585, 1584, -1, 1583, 1585, -1, 1586, + 1588, -1, 1589, 1588, -1, 1590, 1592, -1, + 1593, 1592, -1, 1597, 1596, -1, 1602, 1603, + -1, 1603, 1604, -1, 1604, 1602, -1, 1605, + 1606, -1, 1605, 1607, -1, 1606, 1608, -1, + 1609, 1610, -1, 1610, 1612, -1, 1613, 1614, + -1, 1620, 1621, -1, 1621, 1622, -1, 1622, + 1620, -1, 1623, 1624, -1, 1624, 1625, -1, + 1625, 1626, -1, 1626, 1623, -1, 1683, 1684, + -1, 1663, 1664, -1, 1627, 1628, -1, 1628, + 1629, -1, 1629, 1627, -1, 1630, 1632, 1633, + 1634, 1631, -1, 1631, 1635, -1, 1635, 1630, + -1, 1636, 1637, -1, 1637, 1638, -1, 1638, + 1636, -1, 1639, 1641, -1, 1642, 1641, -1, + 1640, 1642, -1, 1643, 1645, -1, 1646, 1645, + -1, 1647, 1649, -1, 1650, 1649, -1, 1651, + 1653, -1, 1654, 1653, -1, 1655, 1657, -1, + 1658, 1657, -1, 1659, 1661, -1, 1662, 1661, + -1, 1663, 1665, -1, 1666, 1665, -1, 1667, + 1669, -1, 1670, 1669, -1, 1671, 1673, -1, + 1674, 1673, -1, 1675, 1677, -1, 1678, 1677, + -1, 1679, 1681, -1, 1682, 1681, -1, 1683, + 1685, -1, 1686, 1685, -1, 1687, 1689, -1, + 1690, 1689, -1, 1691, 1693, -1, 1694, 1693, + -1, 1695, 1700, -1, 1701, 1702, 1703, 1704, + 1700, -1, 1705, 1707, -1, 1708, 1707, -1, + 1709, 1711, -1, 1712, 1711, -1, 1716, 1715, + -1, 1736, 1737, -1, 1737, 1738, -1, 1738, + 1736, -1, 1739, 1740, -1, 1739, 1741, -1, + 1740, 1742, -1, 1743, 1744, -1, 1744, 1746, + -1, 1747, 1748, -1, 1754, 1755, -1, 1755, + 1756, -1, 1756, 1754, -1, 1757, 1759, -1, + 1760, 1759, -1, 1758, 1760, -1, 1761, 1763, + -1, 1764, 1763, -1, 1768, 1767, -1, 1772, + 1773, -1, 1773, 1774, -1, 1774, 1775, -1, + 1775, 1772, -1, 1776, 1777, -1, 1776, 1778, + -1, 1777, 1779, -1, 1780, 1781, -1, 1781, + 1783, -1, 1784, 1785, -1, 1785, 1787, -1, + 1788, 1789, -1, 1796, 1797, -1, 1797, 1798, + -1, 1798, 1799, -1, 1799, 1800, -1, 1800, + 1796, -1, 1801, 1802, -1, 1802, 1803, -1, + 1803, 1804, -1, 1804, 1801, -1, 1805, 1807, + -1, 1808, 1807, -1, 1806, 1808, -1, 1809, + 1811, -1, 1812, 1811, -1, 1813, 1815, -1, + 1816, 1815, -1, 1817, 1819, -1, 1820, 1819, + -1, 1821, 1823, -1, 1824, 1823, -1, 1825, + 1827, -1, 1828, 1827, -1, 1829, 1831, -1, + 1832, 1831, -1, 1833, 1835, -1, 1836, 1835, + -1, 1840, 1839, -1, 1850, 1851, -1, 1850, + 1852, -1, 1853, 1852, -1, 1851, 1853, -1, + 1854, 1855, -1, 1857, 1856, -1, 1855, 1857, + -1, 1858, 1859, -1, 1861, 1860, -1, 1859, + 1861, -1, 1862, 1863, -1, 1865, 1864, -1, + 1866, 1867, -1, 1866, 1868, -1, 1869, 1868, + -1, 1867, 1869, -1, 1870, 1871, -1, 1873, + 1872, -1, 1871, 1873, -1, 1874, 1875, -1, + 1877, 1876, -1, 1875, 1877, -1, 1878, 1879, + -1, 1881, 1880, -1, 1882, 1883, -1, 1882, + 1884, -1, 1885, 1884, -1, 1883, 1885, -1, + 1886, 1887, -1, 1887, 1889, 1890, 1891, 1892, + 1893, 1888, -1, 1894, 1895, 1896, 1897, 1898, + 1899, 1886, -1, 1900, 1901, -1, 1903, 1902, + -1, 1901, 1903, -1, 1904, 1905, -1, 1905, + 1907, 1908, 1909, 1910, 1911, 1906, -1, 1912, + 1913, 1914, 1915, 1916, 1917, 1904, -1, 1918, + 1919, -1, 1921, 1920, -1, 1919, 1921, -1, + 1922, 1924, 1925, 1926, 1927, 1928, 1929, 1923, + -1, 1931, 1932, 1933, 1934, 1935, 1936, 1937, + 1930, -1, 1923, 1931, -1, 1938, 1939, -1, + 1941, 1940, -1, 1939, 1941, -1, 1942, 1943, + -1, 1943, 1945, 1946, 1947, 1948, 1949, 1944, + -1, 1950, 1951, 1952, 1953, 1954, 1955, 1942, + -1, 1956, 1957, -1, 1959, 1958, -1, 1957, + 1959, -1, 1960, 1961, -1, 1961, 1963, 1964, + 1965, 1966, 1967, 1962, -1, 1968, 1969, 1970, + 1971, 1972, 1973, 1960, -1, 1974, 1975, -1, + 1977, 1976, -1, 1975, 1977, -1, 1978, 1980, + 1981, 1982, 1983, 1984, 1985, 1979, -1, 1987, + 1988, 1989, 1990, 1991, 1992, 1993, 1986, -1, + 1979, 1987, -1, 1994, 1995, -1, 1997, 1996, + -1, 1995, 1997, -1, 1998, 1999, -1, 1999, + 2001, 2002, 2003, 2004, 2005, 2000, -1, 2006, + 2007, 2008, 2009, 2010, 2011, 1998, -1, 2012, + 2013, -1, 2013, 2015, 2016, 2017, 2018, 2014, + -1, 2019, 2014, -1, 2019, 2020, 2021, 2022, + 2023, 2012, -1, 2024, 2025, -1, 2027, 2026, + -1, 2025, 2027, -1, 2028, 2030, 2031, 2032, + 2033, 2034, 2035, 2029, -1, 2037, 2038, 2039, + 2040, 2041, 2042, 2043, 2036, -1, 2029, 2037, + -1, 2044, 2045, -1, 2047, 2046, -1, 2045, + 2047, -1, 2048, 2049, -1, 2049, 2051, 2052, + 2053, 2054, 2055, 2050, -1, 2056, 2057, 2058, + 2059, 2060, 2061, 2048, -1, 2062, 2063, -1, + 2065, 2064, -1, 2063, 2065, -1, 2066, 2067, + -1, 2067, 2069, 2070, 2071, 2072, 2073, 2068, + -1, 2074, 2075, 2076, 2077, 2078, 2079, 2066, + -1, 2080, 2081, -1, 2083, 2082, -1, 2081, + 2083, -1, 2084, 2086, 2087, 2088, 2089, 2090, + 2091, 2085, -1, 2093, 2094, 2095, 2096, 2097, + 2098, 2099, 2092, -1, 2131, 2136, -1, 2136, + 2138, 2139, 2140, 2141, 2142, 2143, 2137, -1, + 2137, 2144, -1, 2144, 2146, 2147, 2148, 2149, + 2150, 2151, 2145, -1, 2145, 2152, -1, 2152, + 2154, 2155, 2156, 2157, 2158, 2159, 2153, -1, + 2153, 2160, -1, 2160, 2162, 2163, 2164, 2165, + 2166, 2167, 2161, -1, 2161, 2168, -1, 2235, + 2236, -1, 2235, 2237, -1, 2236, 2238, -1, + 2239, 2241, 2242, 2243, 2244, 2245, 2246, 2240, + -1, 2239, 2248, -1, 2255, 2256, -1, 2255, + 2258, -1, 2259, 2261, 2262, 2263, 2264, 2265, + 2266, 2260, -1, 2259, 2268, -1, 2275, 2276, + -1, 2275, 2278, -1, 2279, 2280, -1, 2288, + 2289, 2290, 2291, 2292, 2293, 2294, 2279, -1, + 2295, 2296, -1, 2300, 2299, -1, 2295, 2300, + -1, 2301, 2302, -1, 2304, 2303, -1, 2302, + 2304, -1, 2305, 2307, 2308, 2309, 2310, 2311, + 2306, -1, 2313, 2314, 2315, 2316, 2317, 2318, + 2312, -1, 2305, 2313, -1, 2319, 2320, -1, + 2322, 2321, -1, 2320, 2322, -1, 2323, 2325, + 2326, 2327, 2328, 2329, 2330, 2324, -1, 2332, + 2333, 2334, 2335, 2336, 2337, 2338, 2331, -1, + 2323, 2332, -1, 2339, 2340, -1, 2342, 2341, + -1, 2340, 2342, -1, 2343, 2345, 2346, 2347, + 2348, 2349, 2350, 2344, -1, 2352, 2353, 2354, + 2355, 2356, 2357, 2358, 2351, -1, 2343, 2352, + -1, 2359, 2360, -1, 2362, 2361, -1, 2359, + 2362, -1, 2363, 2364, -1, 2366, 2365, -1, + 2364, 2366, -1, 2367, 2368, -1, 2370, 2369, + -1, 2367, 2370, -1, 2371, 2372, -1, 2374, + 2373, -1, 2372, 2374, -1, 2375, 2376, -1, + 2378, 2377, -1, 2376, 2378, -1, 2379, 2380, + -1, 2382, 2381, -1, 2380, 2382, -1, 2383, + 2384, -1, 2386, 2385, -1, 2384, 2386, -1, + 2387, 2389, 2390, 2391, 2392, 2393, 2394, 2388, + -1, 2396, 2397, 2398, 2399, 2400, 2401, 2402, + 2395, -1, 2387, 2396, -1, 2403, 2404, -1, + 2406, 2405, -1, 2404, 2406, -1, 2407, 2409, + 2410, 2411, 2412, 2413, 2414, 2408, -1, 2416, + 2417, 2418, 2419, 2420, 2421, 2422, 2415, -1, + 2407, 2416, -1, 2423, 2424, -1, 2426, 2425, + -1, 2424, 2426, -1, 2427, 2429, 2430, 2431, + 2432, 2433, 2434, 2428, -1, 2436, 2437, 2438, + 2439, 2440, 2441, 2442, 2435, -1, 2427, 2436, + -1, 2443, 2444, -1, 2446, 2445, -1, 2444, + 2446, -1, 2447, 2448, -1, 2450, 2449, -1, + 2447, 2452, -1, 2462, 2463, 2464, 2465, 2466, + 2467, 2468, 2453, -1, 2698, 2699, -1, 2699, + 2700, -1, 2700, 2701, -1, 2701, 2698, -1, + 2702, 2704, -1, 2705, 2704, -1, 2705, 2703, + -1, 2708, 2706, -1, 2709, 2708, -1, 2709, + 2710, -1, 2711, 2710, -1, 2712, 2713, -1, + 2715, 2712, -1, 2720, 2719, -1, 2721, 2720, + -1, 2721, 2717, -1, 2725, 2724, -1, 2726, + 2727, -1, 2727, 2728, -1, 2728, 2729, -1, + 2729, 2726, -1, 2730, 2732, -1, 2733, 2732, + -1, 2733, 2731, -1, 2736, 2734, -1, 2737, + 2736, -1, 2737, 2738, -1, 2739, 2738, -1, + 2740, 2741, -1, 2743, 2740, -1, 2748, 2747, + -1, 2749, 2748, -1, 2749, 2745, -1, 2753, + 2752, -1, 2754, 2756, 2757, 2758, 2759, 2760, + 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, + 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, + 2777, 2778, 2779, 2780, 2755, -1, 2755, 2781, + -1, 2781, 2783, 2784, 2785, 2786, 2787, 2788, + 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, + 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, + 2805, 2806, 2807, 2782, -1, 2829, 2856, -1, + 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, + 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, + 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, + 2881, 2882, 2856, -1, 2912, 2911, -1, 2913, + 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, + 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, + 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, + 2939, 2914, -1, 2913, 2940, -1, 2941, 2942, + 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, + 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, + 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, + 2940, -1, 2996, 2995, -1, 2997, 2999, 3000, + 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, + 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, + 3017, 3018, 3019, 3020, 3021, 3022, 3023, 2998, + -1, 2997, 3024, -1, 3025, 3026, 3027, 3028, + 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, + 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, + 3045, 3046, 3047, 3048, 3049, 3050, 3024, -1, + 3077, 3078, -1, 3078, 3079, -1, 3080, 3079, + -1, 3077, 3080, -1, 3081, 3082, -1, 3084, + 3083, -1, 3081, 3084, -1, 3085, 3086, -1, + 3088, 3087, -1, 3089, 3090, -1, 3090, 3091, + -1, 3092, 3091, -1, 3089, 3092, -1, 3093, + 3094, -1, 3096, 3095, -1, 3093, 3096, -1, + 3097, 3098, -1, 3100, 3099, -1, 3101, 3102, + -1, 3102, 3103, -1, 3104, 3103, -1, 3101, + 3104, -1, 3105, 3107, 3108, 3109, 3110, 3111, + 3112, 3106, -1, 3114, 3115, 3116, 3117, 3118, + 3119, 3120, 3113, -1, 3105, 3114, -1, 3121, + 3122, -1, 3124, 3123, -1, 3121, 3124, -1, + 3125, 3127, 3128, 3129, 3130, 3131, 3132, 3126, + -1, 3134, 3135, 3136, 3137, 3138, 3139, 3140, + 3133, -1, 3125, 3134, -1, 3141, 3142, -1, + 3144, 3143, -1, 3141, 3144, -1, 3145, 3147, + 3148, 3149, 3150, 3151, 3152, 3146, -1, 3154, + 3155, 3156, 3157, 3158, 3159, 3160, 3153, -1, + 3145, 3154, -1, 3161, 3162, -1, 3164, 3163, + -1, 3161, 3164, -1, 3165, 3167, 3168, 3169, + 3170, 3171, 3172, 3166, -1, 3174, 3175, 3176, + 3177, 3178, 3179, 3180, 3173, -1 ] + + } + + } + + }, + + DEF o11 Group { + children + DEF o12 Shape { + appearance + Appearance { + material + Material { + + } + + } + geometry + IndexedFaceSet { + coord + USE o10 + coordIndex [ 2, 0, 1, -1, 5, 6, 4, -1, + 3, 4, 6, -1, 9, 7, 8, -1, + 13, 14, 11, -1, 14, 15, 11, -1, + 10, 11, 15, -1, 11, 12, 13, -1, + 16, 17, 18, -1, 21, 19, 20, -1, + 23, 24, 22, -1, 26, 25, 27, -1, + 26, 27, 28, -1, 31, 29, 37, -1, + 31, 37, 44, -1, 32, 44, 43, -1, + 32, 31, 44, -1, 33, 43, 42, -1, + 33, 32, 43, -1, 34, 42, 41, -1, + 34, 33, 42, -1, 35, 41, 40, -1, + 35, 34, 41, -1, 36, 40, 39, -1, + 36, 35, 40, -1, 30, 39, 38, -1, + 30, 36, 39, -1, 48, 45, 47, -1, + 46, 45, 48, -1, 51, 49, 57, -1, + 51, 57, 64, -1, 52, 64, 63, -1, + 52, 51, 64, -1, 53, 63, 62, -1, + 53, 52, 63, -1, 54, 62, 61, -1, + 54, 53, 62, -1, 55, 61, 60, -1, + 55, 54, 61, -1, 56, 60, 59, -1, + 56, 55, 60, -1, 50, 59, 58, -1, + 50, 56, 59, -1, 68, 65, 67, -1, + 66, 65, 68, -1, 69, 77, 84, -1, + 71, 84, 83, -1, 71, 69, 84, -1, + 72, 83, 82, -1, 72, 71, 83, -1, + 73, 82, 81, -1, 73, 72, 82, -1, + 74, 81, 80, -1, 74, 73, 81, -1, + 75, 80, 79, -1, 75, 74, 80, -1, + 76, 79, 78, -1, 76, 75, 79, -1, + 70, 76, 78, -1, 88, 85, 87, -1, + 86, 85, 88, -1, 89, 97, 104, -1, + 91, 104, 103, -1, 91, 89, 104, -1, + 92, 103, 102, -1, 92, 91, 103, -1, + 93, 102, 101, -1, 93, 92, 102, -1, + 94, 101, 100, -1, 94, 93, 101, -1, + 95, 100, 99, -1, 95, 94, 100, -1, + 96, 99, 98, -1, 96, 95, 99, -1, + 90, 96, 98, -1, 105, 107, 108, -1, + 105, 108, 109, -1, 106, 109, 110, -1, + 106, 110, 111, -1, 106, 111, 112, -1, + 106, 105, 109, -1, 130, 105, 106, -1, + 116, 113, 115, -1, 135, 136, 130, -1, + 117, 113, 116, -1, 118, 113, 117, -1, + 133, 134, 135, -1, 133, 135, 130, -1, + 132, 133, 130, -1, 131, 132, 130, -1, + 114, 118, 119, -1, 114, 119, 120, -1, + 114, 113, 118, -1, 129, 131, 130, -1, + 121, 106, 113, -1, 121, 130, 106, -1, + 121, 113, 114, -1, 121, 129, 130, -1, + 122, 129, 121, -1, 124, 121, 123, -1, + 125, 128, 122, -1, 125, 122, 121, -1, + 125, 121, 124, -1, 126, 127, 128, -1, + 126, 128, 125, -1, 139, 138, 137, -1, + 139, 140, 138, -1, 143, 142, 141, -1, + 143, 144, 142, -1, 151, 146, 149, -1, + 152, 149, 148, -1, 152, 151, 149, -1, + 153, 148, 147, -1, 153, 152, 148, -1, + 154, 147, 145, -1, 154, 153, 147, -1, + 150, 154, 145, -1, 157, 156, 155, -1, + 157, 158, 156, -1, 161, 160, 159, -1, + 161, 162, 160, -1, 165, 164, 163, -1, + 165, 166, 164, -1, 169, 168, 167, -1, + 169, 170, 168, -1, 173, 172, 171, -1, + 173, 174, 172, -1, 177, 176, 175, -1, + 177, 178, 176, -1, 181, 180, 179, -1, + 181, 182, 180, -1, 185, 184, 183, -1, + 185, 186, 184, -1, 189, 188, 187, -1, + 189, 190, 188, -1, 193, 192, 191, -1, + 193, 194, 192, -1, 197, 196, 195, -1, + 197, 198, 196, -1, 201, 200, 199, -1, + 201, 202, 200, -1, 206, 204, 203, -1, + 205, 206, 203, -1, 209, 208, 207, -1, + 209, 210, 208, -1, 213, 212, 211, -1, + 213, 214, 212, -1, 218, 216, 215, -1, + 217, 218, 215, -1, 221, 220, 219, -1, + 221, 222, 220, -1, 225, 224, 223, -1, + 225, 226, 224, -1, 229, 228, 227, -1, + 229, 230, 228, -1, 233, 232, 231, -1, + 233, 234, 232, -1, 237, 236, 235, -1, + 237, 238, 236, -1, 241, 240, 239, -1, + 241, 242, 240, -1, 245, 244, 243, -1, + 245, 246, 244, -1, 249, 248, 247, -1, + 249, 250, 248, -1, 253, 252, 251, -1, + 253, 254, 252, -1, 257, 256, 255, -1, + 257, 258, 256, -1, 261, 260, 259, -1, + 261, 262, 260, -1, 265, 264, 263, -1, + 265, 266, 264, -1, 269, 268, 267, -1, + 269, 270, 268, -1, 299, 300, 319, -1, + 299, 319, 326, -1, 327, 334, 290, -1, + 285, 338, 284, -1, 303, 304, 322, -1, + 337, 338, 285, -1, 323, 303, 322, -1, + 302, 303, 323, -1, 286, 337, 285, -1, + 301, 323, 324, -1, 314, 276, 277, -1, + 314, 277, 278, -1, 301, 302, 323, -1, + 287, 337, 286, -1, 287, 336, 337, -1, + 315, 274, 275, -1, 315, 275, 276, -1, + 315, 276, 314, -1, 313, 278, 279, -1, + 313, 279, 280, -1, 313, 314, 278, -1, + 300, 301, 324, -1, 316, 273, 274, -1, + 288, 336, 287, -1, 288, 335, 336, -1, + 316, 274, 315, -1, 325, 300, 324, -1, + 312, 313, 280, -1, 317, 272, 273, -1, + 317, 273, 316, -1, 319, 300, 325, -1, + 289, 334, 335, -1, 289, 335, 288, -1, + 310, 312, 280, -1, 290, 334, 289, -1, + 311, 271, 272, -1, 311, 272, 317, -1, + 291, 327, 290, -1, 318, 271, 311, -1, + 318, 307, 308, -1, 318, 308, 271, -1, + 292, 333, 327, -1, 292, 327, 291, -1, + 281, 309, 310, -1, 281, 310, 280, -1, + 320, 307, 318, -1, 340, 309, 281, -1, + 306, 307, 320, -1, 293, 332, 333, -1, + 293, 333, 292, -1, 299, 326, 328, -1, + 339, 281, 282, -1, 299, 328, 329, -1, + 339, 282, 283, -1, 339, 340, 281, -1, + 298, 299, 329, -1, 298, 329, 330, -1, + 321, 306, 320, -1, 321, 305, 306, -1, + 294, 331, 332, -1, 294, 332, 293, -1, + 297, 298, 330, -1, 338, 283, 284, -1, + 295, 331, 294, -1, 338, 339, 283, -1, + 296, 331, 295, -1, 322, 305, 321, -1, + 296, 330, 331, -1, 322, 304, 305, -1, + 296, 297, 330, -1, 344, 341, 342, -1, + 344, 342, 343, -1, 411, 412, 406, -1, + 365, 391, 398, -1, 365, 398, 400, -1, + 410, 411, 406, -1, 365, 366, 391, -1, + 362, 365, 400, -1, 410, 408, 409, -1, + 410, 406, 408, -1, 363, 362, 400, -1, + 364, 363, 400, -1, 361, 364, 400, -1, + 360, 361, 400, -1, 360, 400, 401, -1, + 357, 360, 401, -1, 358, 357, 401, -1, + 367, 394, 395, -1, 359, 358, 401, -1, + 402, 359, 401, -1, 373, 394, 367, -1, + 368, 395, 396, -1, 354, 359, 402, -1, + 368, 367, 395, -1, 372, 393, 394, -1, + 372, 394, 373, -1, 403, 355, 354, -1, + 403, 354, 402, -1, 369, 368, 396, -1, + 356, 355, 403, -1, 371, 393, 372, -1, + 374, 392, 393, -1, 374, 393, 371, -1, + 375, 392, 374, -1, 378, 392, 375, -1, + 370, 396, 397, -1, 370, 369, 396, -1, + 377, 392, 378, -1, 376, 390, 392, -1, + 376, 392, 377, -1, 366, 370, 397, -1, + 366, 397, 391, -1, 404, 350, 349, -1, + 404, 349, 352, -1, 404, 352, 353, -1, + 404, 353, 356, -1, 404, 356, 403, -1, + 388, 389, 383, -1, 387, 388, 383, -1, + 399, 347, 348, -1, 399, 351, 350, -1, + 399, 348, 351, -1, 399, 350, 404, -1, + 385, 387, 383, -1, 385, 386, 387, -1, + 384, 385, 383, -1, 405, 347, 399, -1, + 379, 390, 376, -1, 406, 345, 346, -1, + 406, 346, 347, -1, 379, 383, 390, -1, + 382, 384, 383, -1, 406, 347, 405, -1, + 382, 383, 379, -1, 380, 382, 379, -1, + 408, 405, 407, -1, 381, 382, 380, -1, + 408, 406, 405, -1, 414, 415, 416, -1, + 414, 416, 413, -1, 429, 418, 422, -1, + 439, 440, 472, -1, 429, 489, 417, -1, + 429, 490, 489, -1, 439, 472, 471, -1, + 435, 430, 473, -1, 435, 473, 476, -1, + 438, 439, 471, -1, 438, 471, 470, -1, + 436, 438, 470, -1, 431, 485, 484, -1, + 431, 486, 485, -1, 444, 445, 446, -1, + 431, 483, 486, -1, 444, 446, 447, -1, + 431, 484, 487, -1, 444, 447, 448, -1, + 431, 487, 488, -1, 444, 448, 449, -1, + 431, 488, 490, -1, 444, 449, 450, -1, + 431, 490, 429, -1, 421, 419, 420, -1, + 434, 435, 476, -1, 443, 445, 444, -1, + 418, 419, 421, -1, 434, 476, 475, -1, + 432, 477, 478, -1, 432, 480, 479, -1, + 425, 421, 423, -1, 453, 437, 443, -1, + 432, 481, 480, -1, 425, 423, 424, -1, + 432, 478, 481, -1, 432, 479, 482, -1, + 453, 443, 444, -1, 432, 482, 483, -1, + 432, 483, 431, -1, 452, 444, 451, -1, + 426, 421, 425, -1, 433, 434, 475, -1, + 452, 453, 444, -1, 433, 475, 474, -1, + 433, 474, 477, -1, 433, 477, 432, -1, + 428, 426, 427, -1, 428, 421, 426, -1, + 454, 437, 453, -1, 457, 437, 454, -1, + 422, 418, 421, -1, 422, 421, 428, -1, + 456, 437, 457, -1, 456, 442, 437, -1, + 455, 442, 456, -1, 458, 442, 455, -1, + 459, 442, 458, -1, 462, 442, 459, -1, + 461, 442, 462, -1, 460, 442, 461, -1, + 463, 442, 460, -1, 463, 441, 442, -1, + 464, 441, 463, -1, 467, 441, 464, -1, + 466, 441, 467, -1, 465, 441, 466, -1, + 468, 441, 465, -1, 440, 441, 468, -1, + 430, 436, 470, -1, 440, 468, 469, -1, + 430, 470, 473, -1, 472, 440, 469, -1, + 429, 417, 418, -1, 491, 492, 493, -1, + 496, 494, 495, -1, 502, 500, 501, -1, + 499, 500, 502, -1, 498, 502, 501, -1, + 502, 497, 499, -1, 503, 504, 505, -1, + 507, 508, 509, -1, 509, 506, 507, -1, + 510, 511, 512, -1, 513, 515, 516, -1, + 523, 513, 516, -1, 524, 514, 513, -1, + 524, 513, 523, -1, 522, 523, 516, -1, + 517, 522, 516, -1, 519, 522, 517, -1, + 518, 519, 517, -1, 520, 521, 522, -1, + 520, 522, 519, -1, 525, 527, 528, -1, + 525, 528, 529, -1, 530, 525, 529, -1, + 531, 526, 525, -1, 531, 525, 530, -1, + 535, 536, 537, -1, 535, 537, 538, -1, + 533, 535, 538, -1, 539, 533, 538, -1, + 532, 534, 535, -1, 532, 535, 533, -1, + 543, 544, 545, -1, 541, 545, 546, -1, + 541, 543, 545, -1, 547, 541, 546, -1, + 540, 542, 543, -1, 540, 543, 541, -1, + 549, 551, 552, -1, 553, 549, 552, -1, + 548, 550, 549, -1, 550, 551, 549, -1, + 554, 556, 557, -1, 554, 557, 558, -1, + 559, 555, 554, -1, 558, 559, 554, -1, + 563, 564, 565, -1, 563, 565, 566, -1, + 561, 563, 566, -1, 567, 561, 566, -1, + 560, 562, 563, -1, 560, 563, 561, -1, + 571, 572, 573, -1, 569, 573, 574, -1, + 569, 571, 573, -1, 575, 569, 574, -1, + 568, 570, 571, -1, 568, 571, 569, -1, + 577, 579, 580, -1, 577, 580, 581, -1, + 582, 577, 581, -1, 576, 578, 579, -1, + 576, 579, 577, -1, 590, 591, 592, -1, + 587, 590, 592, -1, 588, 589, 590, -1, + 588, 590, 587, -1, 586, 587, 592, -1, + 593, 586, 592, -1, 583, 586, 593, -1, + 594, 583, 593, -1, 584, 585, 586, -1, + 584, 586, 583, -1, 595, 597, 598, -1, + 595, 598, 599, -1, 600, 595, 599, -1, + 601, 596, 595, -1, 601, 595, 600, -1, + 603, 605, 606, -1, 607, 603, 606, -1, + 602, 604, 603, -1, 604, 605, 603, -1, + 608, 610, 611, -1, 608, 611, 612, -1, + 613, 608, 612, -1, 614, 609, 608, -1, + 614, 608, 613, -1, 616, 618, 619, -1, + 620, 616, 619, -1, 617, 618, 616, -1, + 615, 617, 616, -1, 621, 623, 624, -1, + 621, 624, 625, -1, 626, 622, 621, -1, + 626, 621, 625, -1, 628, 630, 631, -1, + 628, 631, 632, -1, 633, 628, 632, -1, + 627, 629, 630, -1, 627, 630, 628, -1, + 634, 636, 637, -1, 634, 637, 635, -1, + 638, 640, 641, -1, 638, 641, 639, -1, + 643, 644, 645, -1, 643, 645, 642, -1, + 649, 650, 647, -1, 646, 648, 649, -1, + 647, 646, 649, -1, 651, 654, 655, -1, + 652, 653, 651, -1, 653, 654, 651, -1, + 658, 657, 656, -1, 658, 659, 657, -1, + 662, 661, 660, -1, 662, 663, 661, -1, + 666, 665, 664, -1, 666, 667, 665, -1, + 668, 670, 671, -1, 668, 671, 669, -1, + 675, 676, 673, -1, 672, 674, 675, -1, + 672, 675, 673, -1, 677, 680, 681, -1, + 679, 680, 677, -1, 678, 679, 677, -1, + 682, 684, 685, -1, 682, 685, 683, -1, + 688, 687, 686, -1, 688, 689, 687, -1, + 692, 691, 690, -1, 692, 693, 691, -1, + 696, 695, 694, -1, 696, 697, 695, -1, + 701, 702, 699, -1, 698, 700, 701, -1, + 698, 701, 699, -1, 703, 706, 707, -1, + 705, 706, 703, -1, 704, 705, 703, -1, + 708, 710, 711, -1, 708, 711, 709, -1, + 712, 714, 715, -1, 712, 715, 713, -1, + 719, 720, 717, -1, 716, 718, 719, -1, + 717, 716, 719, -1, 724, 725, 722, -1, + 721, 723, 724, -1, 721, 724, 722, -1, + 726, 729, 730, -1, 728, 729, 726, -1, + 727, 728, 726, -1, 732, 738, 739, -1, + 739, 738, 743, -1, 738, 737, 743, -1, + 743, 736, 742, -1, 737, 736, 743, -1, + 742, 735, 741, -1, 736, 735, 742, -1, + 735, 734, 741, -1, 741, 733, 740, -1, + 734, 733, 741, -1, 733, 731, 740, -1, + 745, 748, 749, -1, 749, 748, 752, -1, + 752, 747, 751, -1, 748, 747, 752, -1, + 751, 746, 750, -1, 747, 746, 751, -1, + 746, 744, 750, -1, 754, 756, 757, -1, + 757, 756, 760, -1, 760, 755, 759, -1, + 756, 755, 760, -1, 759, 753, 758, -1, + 755, 753, 759, -1, 762, 765, 766, -1, + 766, 765, 769, -1, 769, 764, 768, -1, + 765, 764, 769, -1, 768, 763, 767, -1, + 764, 763, 768, -1, 763, 761, 767, -1, + 771, 774, 775, -1, 775, 774, 778, -1, + 778, 773, 777, -1, 774, 773, 778, -1, + 777, 772, 776, -1, 773, 772, 777, -1, + 772, 770, 776, -1, 789, 780, 786, -1, + 789, 788, 780, -1, 790, 786, 785, -1, + 790, 789, 786, -1, 791, 785, 784, -1, + 791, 790, 785, -1, 792, 783, 782, -1, + 792, 784, 783, -1, 792, 791, 784, -1, + 793, 782, 781, -1, 793, 792, 782, -1, + 794, 781, 779, -1, 794, 793, 781, -1, + 787, 794, 779, -1, 797, 796, 795, -1, + 797, 798, 796, -1, 809, 800, 806, -1, + 809, 808, 800, -1, 810, 809, 806, -1, + 811, 810, 806, -1, 812, 806, 805, -1, + 812, 811, 806, -1, 813, 812, 805, -1, + 814, 805, 804, -1, 814, 813, 805, -1, + 815, 814, 804, -1, 816, 815, 804, -1, + 817, 804, 803, -1, 817, 816, 804, -1, + 818, 817, 803, -1, 819, 818, 803, -1, + 820, 803, 802, -1, 820, 819, 803, -1, + 821, 820, 802, -1, 822, 802, 801, -1, + 822, 821, 802, -1, 823, 822, 801, -1, + 824, 823, 801, -1, 825, 801, 799, -1, + 825, 824, 801, -1, 807, 825, 799, -1, + 828, 826, 827, -1, 828, 829, 826, -1, + 839, 831, 836, -1, 839, 838, 831, -1, + 840, 839, 836, -1, 841, 840, 836, -1, + 842, 836, 835, -1, 842, 841, 836, -1, + 843, 842, 835, -1, 844, 843, 835, -1, + 845, 835, 834, -1, 845, 844, 835, -1, + 846, 845, 834, -1, 847, 834, 833, -1, + 847, 846, 834, -1, 848, 847, 833, -1, + 849, 848, 833, -1, 850, 833, 832, -1, + 850, 849, 833, -1, 851, 850, 832, -1, + 852, 851, 832, -1, 853, 832, 830, -1, + 853, 852, 832, -1, 837, 853, 830, -1, + 856, 854, 855, -1, 856, 857, 854, -1, + 868, 865, 864, -1, 868, 859, 865, -1, + 868, 867, 859, -1, 869, 864, 863, -1, + 869, 868, 864, -1, 870, 863, 862, -1, + 870, 869, 863, -1, 871, 862, 861, -1, + 871, 870, 862, -1, 872, 861, 860, -1, + 872, 871, 861, -1, 873, 860, 858, -1, + 873, 872, 860, -1, 866, 873, 858, -1, + 876, 874, 875, -1, 876, 877, 874, -1, + 879, 885, 881, -1, 883, 885, 879, -1, + 881, 884, 880, -1, 885, 884, 881, -1, + 880, 882, 878, -1, 884, 882, 880, -1, + 887, 893, 889, -1, 891, 893, 887, -1, + 889, 892, 888, -1, 893, 892, 889, -1, + 888, 890, 886, -1, 892, 890, 888, -1, + 896, 894, 895, -1, 896, 897, 894, -1, + 899, 904, 905, -1, 905, 904, 908, -1, + 904, 903, 908, -1, 908, 902, 907, -1, + 903, 902, 908, -1, 902, 901, 907, -1, + 907, 900, 906, -1, 901, 900, 907, -1, + 900, 898, 906, -1, 910, 912, 913, -1, + 913, 912, 916, -1, 916, 911, 915, -1, + 912, 911, 916, -1, 915, 909, 914, -1, + 911, 909, 915, -1, 918, 920, 921, -1, + 921, 920, 924, -1, 924, 919, 923, -1, + 920, 919, 924, -1, 923, 917, 922, -1, + 919, 917, 923, -1, 937, 935, 936, -1, + 934, 935, 931, -1, 931, 935, 937, -1, + 984, 1083, 1115, -1, 1115, 1083, 1102, -1, + 1085, 1083, 987, -1, 1102, 1083, 930, -1, + 987, 1083, 984, -1, 1085, 1082, 1083, -1, + 1085, 929, 1082, -1, 1085, 926, 929, -1, + 929, 927, 928, -1, 926, 927, 929, -1, + 1038, 1036, 1037, -1, 1039, 1036, 1038, -1, + 1033, 1036, 1039, -1, 1036, 1034, 1035, -1, + 1033, 1034, 1036, -1, 1033, 1032, 1034, -1, + 925, 1040, 1033, -1, 1033, 1040, 1032, -1, + 966, 1106, 965, -1, 926, 1053, 925, -1, + 1085, 1053, 926, -1, 967, 1106, 966, -1, + 925, 1053, 1040, -1, 1106, 1116, 965, -1, + 965, 1116, 964, -1, 967, 1111, 1106, -1, + 968, 1111, 967, -1, 1053, 1059, 1040, -1, + 964, 1117, 963, -1, 1116, 1117, 964, -1, + 969, 1110, 968, -1, 968, 1110, 1111, -1, + 1059, 1060, 1040, -1, 1041, 1060, 1044, -1, + 1060, 1048, 1045, -1, 1106, 1107, 1112, -1, + 1117, 1118, 963, -1, 963, 1118, 962, -1, + 970, 1109, 969, -1, 1060, 1052, 1049, -1, + 1085, 1014, 1053, -1, 969, 1109, 1110, -1, + 998, 1014, 1085, -1, 962, 1119, 961, -1, + 1118, 1119, 962, -1, 970, 1108, 1109, -1, + 971, 1108, 970, -1, 1014, 1016, 1053, -1, + 1016, 1017, 1053, -1, 1042, 1015, 1040, -1, + 972, 1123, 971, -1, 971, 1123, 1108, -1, + 1108, 1123, 1107, -1, 1040, 1015, 1032, -1, + 961, 1120, 960, -1, 1119, 1120, 961, -1, + 1053, 1018, 1058, -1, 972, 1125, 1123, -1, + 1017, 1018, 1053, -1, 1043, 1031, 1042, -1, + 972, 1124, 1125, -1, 973, 1124, 972, -1, + 1042, 1031, 1015, -1, 960, 1121, 959, -1, + 1120, 1121, 960, -1, 1058, 1019, 1057, -1, + 974, 1122, 973, -1, 957, 1122, 974, -1, + 1018, 1019, 1058, -1, 973, 1122, 1124, -1, + 1041, 1030, 1043, -1, 1122, 1114, 1107, -1, + 1044, 1030, 1041, -1, 1107, 1114, 1112, -1, + 1112, 1114, 1113, -1, 1043, 1030, 1031, -1, + 957, 975, 1122, -1, 1057, 1020, 1056, -1, + 1019, 1020, 1057, -1, 958, 1115, 956, -1, + 959, 1115, 958, -1, 1122, 1115, 1114, -1, + 1121, 1115, 959, -1, 1046, 1029, 1044, -1, + 1044, 1029, 1030, -1, 977, 978, 975, -1, + 978, 979, 975, -1, 1056, 1021, 1055, -1, + 1020, 1021, 1056, -1, 1047, 1028, 1046, -1, + 1045, 1028, 1047, -1, 980, 981, 979, -1, + 979, 981, 975, -1, 1046, 1028, 1029, -1, + 981, 982, 975, -1, 975, 976, 1122, -1, + 1021, 1022, 1055, -1, 982, 976, 975, -1, + 1045, 1027, 1028, -1, 1048, 1027, 1045, -1, + 1122, 983, 1115, -1, 976, 983, 1122, -1, + 1055, 1023, 1054, -1, 1022, 1023, 1055, -1, + 983, 984, 1115, -1, 1050, 1026, 1048, -1, + 1051, 1026, 1050, -1, 1048, 1026, 1027, -1, + 1023, 1024, 1054, -1, 1054, 1024, 1052, -1, + 985, 987, 984, -1, 986, 987, 985, -1, + 1051, 1025, 1026, -1, 1024, 1025, 1052, -1, + 1049, 1025, 1051, -1, 1052, 1025, 1049, -1, + 929, 930, 1082, -1, 1041, 1040, 1060, -1, + 1045, 1044, 1060, -1, 1049, 1048, 1060, -1, + 1064, 1065, 1009, -1, 1064, 1009, 1010, -1, + 1066, 1067, 1008, -1, 994, 992, 993, -1, + 1008, 1067, 1007, -1, 1071, 1070, 1068, -1, + 1075, 1074, 1068, -1, 1079, 1078, 1068, -1, + 1088, 1087, 1097, -1, 1103, 1102, 1092, -1, + 1123, 1122, 1107, -1, 994, 989, 992, -1, + 992, 989, 991, -1, 995, 989, 994, -1, + 996, 989, 995, -1, 996, 990, 989, -1, + 1115, 940, 956, -1, 990, 1079, 989, -1, + 989, 1079, 988, -1, 997, 1079, 990, -1, + 1115, 1102, 940, -1, 955, 1102, 954, -1, + 940, 1102, 955, -1, 997, 1081, 1079, -1, + 999, 1081, 997, -1, 988, 1084, 987, -1, + 954, 1104, 953, -1, 1102, 1104, 954, -1, + 999, 1080, 1081, -1, 953, 1105, 952, -1, + 1104, 1105, 953, -1, 999, 1078, 1080, -1, + 1000, 1078, 999, -1, 1001, 1078, 1000, -1, + 988, 1086, 1084, -1, 1084, 1085, 987, -1, + 1105, 1103, 952, -1, 952, 1093, 951, -1, + 1103, 1093, 952, -1, 1001, 1075, 1078, -1, + 1001, 1077, 1075, -1, 1001, 1076, 1077, -1, + 1002, 1074, 1001, -1, 1001, 1074, 1076, -1, + 1002, 1003, 1074, -1, 951, 1094, 950, -1, + 1093, 1094, 951, -1, 1103, 1092, 1093, -1, + 950, 1095, 949, -1, 1094, 1095, 950, -1, + 1004, 1071, 1003, -1, 1003, 1071, 1074, -1, + 949, 1096, 948, -1, 1095, 1096, 949, -1, + 1004, 1073, 1071, -1, 1004, 1072, 1073, -1, + 1004, 1070, 1072, -1, 1004, 1005, 1070, -1, + 1096, 1091, 948, -1, 1091, 947, 948, -1, + 1005, 1062, 1070, -1, 1091, 1099, 947, -1, + 1005, 1006, 1062, -1, 1006, 1067, 1062, -1, + 1099, 946, 947, -1, 1062, 1068, 1070, -1, + 1075, 1068, 1078, -1, 1071, 1068, 1074, -1, + 1092, 1097, 1091, -1, 1102, 1097, 1092, -1, + 1006, 1007, 1067, -1, 1099, 945, 946, -1, + 1100, 945, 1099, -1, 1068, 1069, 1079, -1, + 1066, 1008, 1065, -1, 1097, 1087, 1098, -1, + 1100, 944, 945, -1, 1101, 944, 1100, -1, + 1008, 1009, 1065, -1, 1079, 1061, 988, -1, + 1069, 1061, 1079, -1, 1086, 1061, 1085, -1, + 988, 1061, 1086, -1, 1102, 1088, 1097, -1, + 1101, 943, 944, -1, 1098, 943, 1101, -1, + 1098, 942, 943, -1, 1087, 942, 1098, -1, + 1089, 942, 1087, -1, 1064, 1011, 1063, -1, + 1010, 1011, 1064, -1, 1089, 941, 942, -1, + 1090, 941, 1089, -1, 1063, 1012, 1061, -1, + 1011, 1012, 1063, -1, 1088, 939, 1090, -1, + 1090, 939, 941, -1, 1012, 1013, 1061, -1, + 1102, 930, 1088, -1, 1061, 998, 1085, -1, + 1013, 998, 1061, -1, 1088, 932, 939, -1, + 930, 931, 1088, -1, 1088, 931, 932, -1, + 932, 937, 938, -1, 931, 937, 932, -1, + 933, 934, 931, -1, 1127, 1129, 1130, -1, + 1130, 1129, 1133, -1, 1133, 1128, 1132, -1, + 1129, 1128, 1133, -1, 1132, 1126, 1131, -1, + 1128, 1126, 1132, -1, 1135, 1137, 1138, -1, + 1138, 1137, 1141, -1, 1141, 1136, 1140, -1, + 1137, 1136, 1141, -1, 1140, 1134, 1139, -1, + 1136, 1134, 1140, -1, 1143, 1145, 1146, -1, + 1146, 1145, 1149, -1, 1149, 1144, 1148, -1, + 1145, 1144, 1149, -1, 1148, 1142, 1147, -1, + 1144, 1142, 1148, -1, 1152, 1151, 1150, -1, + 1152, 1153, 1151, -1, 1164, 1155, 1161, -1, + 1164, 1163, 1155, -1, 1165, 1161, 1160, -1, + 1165, 1164, 1161, -1, 1166, 1160, 1159, -1, + 1166, 1165, 1160, -1, 1167, 1159, 1158, -1, + 1167, 1166, 1159, -1, 1168, 1158, 1157, -1, + 1168, 1167, 1158, -1, 1169, 1157, 1156, -1, + 1169, 1168, 1157, -1, 1162, 1156, 1154, -1, + 1162, 1169, 1156, -1, 1172, 1170, 1171, -1, + 1172, 1173, 1170, -1, 1183, 1175, 1180, -1, + 1183, 1182, 1175, -1, 1184, 1183, 1180, -1, + 1185, 1184, 1180, -1, 1186, 1180, 1179, -1, + 1186, 1185, 1180, -1, 1187, 1186, 1179, -1, + 1188, 1187, 1179, -1, 1189, 1179, 1178, -1, + 1189, 1188, 1179, -1, 1190, 1189, 1178, -1, + 1191, 1190, 1178, -1, 1192, 1178, 1177, -1, + 1192, 1191, 1178, -1, 1193, 1192, 1177, -1, + 1194, 1193, 1177, -1, 1195, 1177, 1176, -1, + 1195, 1194, 1177, -1, 1196, 1195, 1176, -1, + 1197, 1196, 1176, -1, 1198, 1176, 1174, -1, + 1198, 1197, 1176, -1, 1181, 1198, 1174, -1, + 1201, 1200, 1199, -1, 1201, 1202, 1200, -1, + 1212, 1204, 1209, -1, 1212, 1211, 1204, -1, + 1213, 1212, 1209, -1, 1214, 1213, 1209, -1, + 1215, 1209, 1208, -1, 1215, 1214, 1209, -1, + 1216, 1215, 1208, -1, 1217, 1216, 1208, -1, + 1218, 1208, 1207, -1, 1218, 1217, 1208, -1, + 1219, 1218, 1207, -1, 1220, 1207, 1206, -1, + 1220, 1219, 1207, -1, 1221, 1220, 1206, -1, + 1222, 1221, 1206, -1, 1223, 1206, 1205, -1, + 1223, 1222, 1206, -1, 1224, 1223, 1205, -1, + 1225, 1224, 1205, -1, 1226, 1205, 1203, -1, + 1226, 1225, 1205, -1, 1210, 1226, 1203, -1, + 1229, 1228, 1227, -1, 1229, 1230, 1228, -1, + 1240, 1232, 1238, -1, 1241, 1238, 1237, -1, + 1241, 1240, 1238, -1, 1242, 1237, 1236, -1, + 1242, 1241, 1237, -1, 1243, 1236, 1235, -1, + 1243, 1242, 1236, -1, 1244, 1235, 1234, -1, + 1244, 1243, 1235, -1, 1245, 1234, 1233, -1, + 1245, 1244, 1234, -1, 1246, 1233, 1231, -1, + 1246, 1245, 1233, -1, 1239, 1246, 1231, -1, + 1249, 1248, 1247, -1, 1249, 1250, 1248, -1, + 1252, 1261, 1254, -1, 1256, 1261, 1252, -1, + 1261, 1260, 1254, -1, 1254, 1259, 1253, -1, + 1260, 1259, 1254, -1, 1259, 1258, 1253, -1, + 1253, 1257, 1251, -1, 1258, 1257, 1253, -1, + 1257, 1255, 1251, -1, 1263, 1269, 1265, -1, + 1267, 1269, 1263, -1, 1265, 1268, 1264, -1, + 1269, 1268, 1265, -1, 1264, 1266, 1262, -1, + 1268, 1266, 1264, -1, 1271, 1272, 1270, -1, + 1275, 1274, 1273, -1, 1275, 1276, 1274, -1, + 1279, 1278, 1277, -1, 1279, 1280, 1278, -1, + 1283, 1282, 1281, -1, 1283, 1284, 1282, -1, + 1287, 1285, 1286, -1, 1290, 1288, 1289, -1, + 1293, 1292, 1291, -1, 1293, 1294, 1292, -1, + 1297, 1296, 1295, -1, 1297, 1298, 1296, -1, + 1301, 1300, 1299, -1, 1301, 1302, 1300, -1, + 1304, 1305, 1303, -1, 1306, 1307, 1308, -1, + 1311, 1310, 1309, -1, 1311, 1312, 1310, -1, + 1315, 1314, 1313, -1, 1315, 1316, 1314, -1, + 1319, 1318, 1317, -1, 1319, 1320, 1318, -1, + 1321, 1322, 1323, -1, 1326, 1324, 1325, -1, + 1329, 1328, 1327, -1, 1329, 1330, 1328, -1, + 1333, 1332, 1331, -1, 1333, 1334, 1332, -1, + 1337, 1336, 1335, -1, 1337, 1338, 1336, -1, + 1340, 1341, 1339, -1, 1343, 1344, 1342, -1, + 1346, 1349, 1345, -1, 1348, 1349, 1346, -1, + 1347, 1348, 1346, -1, 1352, 1350, 1351, -1, + 1355, 1353, 1354, -1, 1360, 1361, 1359, -1, + 1358, 1359, 1361, -1, 1357, 1361, 1360, -1, + 1361, 1356, 1358, -1, 1364, 1363, 1362, -1, + 1364, 1365, 1363, -1, 1368, 1367, 1366, -1, + 1368, 1369, 1367, -1, 1372, 1371, 1370, -1, + 1372, 1373, 1371, -1, 1376, 1375, 1374, -1, + 1376, 1377, 1375, -1, 1380, 1379, 1378, -1, + 1380, 1381, 1379, -1, 1384, 1383, 1382, -1, + 1384, 1385, 1383, -1, 1388, 1387, 1386, -1, + 1388, 1389, 1387, -1, 1392, 1391, 1390, -1, + 1392, 1393, 1391, -1, 1396, 1395, 1394, -1, + 1396, 1397, 1395, -1, 1400, 1399, 1398, -1, + 1400, 1401, 1399, -1, 1404, 1403, 1402, -1, + 1404, 1405, 1403, -1, 1408, 1407, 1406, -1, + 1408, 1409, 1407, -1, 1412, 1411, 1410, -1, + 1412, 1413, 1411, -1, 1416, 1415, 1414, -1, + 1416, 1417, 1415, -1, 1420, 1419, 1418, -1, + 1420, 1421, 1419, -1, 1424, 1423, 1422, -1, + 1424, 1425, 1423, -1, 1428, 1427, 1426, -1, + 1428, 1429, 1427, -1, 1436, 1431, 1434, -1, + 1437, 1434, 1433, -1, 1437, 1436, 1434, -1, + 1438, 1433, 1432, -1, 1438, 1437, 1433, -1, + 1439, 1432, 1430, -1, 1439, 1438, 1432, -1, + 1435, 1439, 1430, -1, 1442, 1441, 1440, -1, + 1442, 1443, 1441, -1, 1446, 1444, 1445, -1, + 1452, 1448, 1451, -1, 1447, 1448, 1452, -1, + 1448, 1449, 1450, -1, 1450, 1451, 1448, -1, + 1453, 1454, 1455, -1, 1457, 1460, 1456, -1, + 1457, 1458, 1460, -1, 1458, 1459, 1460, -1, + 1461, 1462, 1463, -1, 1466, 1464, 1465, -1, + 1469, 1468, 1467, -1, 1469, 1470, 1468, -1, + 1473, 1472, 1471, -1, 1473, 1474, 1472, -1, + 1477, 1476, 1475, -1, 1477, 1478, 1476, -1, + 1480, 1481, 1479, -1, 1483, 1484, 1482, -1, + 1488, 1485, 1486, -1, 1488, 1486, 1487, -1, + 1491, 1490, 1489, -1, 1491, 1492, 1490, -1, + 1495, 1494, 1493, -1, 1495, 1496, 1494, -1, + 1499, 1498, 1497, -1, 1499, 1500, 1498, -1, + 1503, 1502, 1501, -1, 1503, 1504, 1502, -1, + 1507, 1506, 1505, -1, 1507, 1508, 1506, -1, + 1511, 1510, 1509, -1, 1511, 1512, 1510, -1, + 1515, 1514, 1513, -1, 1515, 1516, 1514, -1, + 1519, 1517, 1518, -1, 1523, 1521, 1522, -1, + 1520, 1521, 1523, -1, 1526, 1524, 1525, -1, + 1529, 1528, 1527, -1, 1529, 1530, 1528, -1, + 1533, 1532, 1531, -1, 1533, 1534, 1532, -1, + 1537, 1536, 1535, -1, 1537, 1538, 1536, -1, + 1540, 1541, 1539, -1, 1542, 1543, 1544, -1, + 1547, 1546, 1545, -1, 1547, 1548, 1546, -1, + 1551, 1550, 1549, -1, 1551, 1552, 1550, -1, + 1555, 1554, 1553, -1, 1555, 1556, 1554, -1, + 1557, 1558, 1559, -1, 1562, 1560, 1561, -1, + 1565, 1564, 1563, -1, 1565, 1566, 1564, -1, + 1569, 1568, 1567, -1, 1569, 1570, 1568, -1, + 1573, 1572, 1571, -1, 1573, 1574, 1572, -1, + 1576, 1577, 1575, -1, 1578, 1580, 1581, -1, + 1579, 1580, 1578, -1, 1584, 1583, 1582, -1, + 1584, 1585, 1583, -1, 1588, 1587, 1586, -1, + 1588, 1589, 1587, -1, 1592, 1591, 1590, -1, + 1592, 1593, 1591, -1, 1596, 1595, 1594, -1, + 1596, 1597, 1595, -1, 1601, 1599, 1600, -1, + 1601, 1598, 1599, -1, 1602, 1603, 1604, -1, + 1607, 1606, 1605, -1, 1607, 1608, 1606, -1, + 1611, 1610, 1609, -1, 1611, 1612, 1610, -1, + 1615, 1614, 1613, -1, 1615, 1616, 1614, -1, + 1617, 1618, 1619, -1, 1620, 1621, 1622, -1, + 1624, 1625, 1626, -1, 1623, 1624, 1626, -1, + 1629, 1627, 1628, -1, 1635, 1633, 1634, -1, + 1635, 1634, 1631, -1, 1630, 1632, 1635, -1, + 1632, 1633, 1635, -1, 1638, 1636, 1637, -1, + 1641, 1640, 1639, -1, 1641, 1642, 1640, -1, + 1645, 1644, 1643, -1, 1645, 1646, 1644, -1, + 1649, 1648, 1647, -1, 1649, 1650, 1648, -1, + 1653, 1652, 1651, -1, 1653, 1654, 1652, -1, + 1657, 1656, 1655, -1, 1657, 1658, 1656, -1, + 1661, 1660, 1659, -1, 1661, 1662, 1660, -1, + 1665, 1664, 1663, -1, 1665, 1666, 1664, -1, + 1669, 1668, 1667, -1, 1669, 1670, 1668, -1, + 1673, 1672, 1671, -1, 1673, 1674, 1672, -1, + 1677, 1676, 1675, -1, 1677, 1678, 1676, -1, + 1681, 1680, 1679, -1, 1681, 1682, 1680, -1, + 1685, 1684, 1683, -1, 1685, 1686, 1684, -1, + 1689, 1688, 1687, -1, 1689, 1690, 1688, -1, + 1693, 1692, 1691, -1, 1693, 1694, 1692, -1, + 1701, 1696, 1699, -1, 1702, 1699, 1698, -1, + 1702, 1701, 1699, -1, 1703, 1698, 1697, -1, + 1703, 1702, 1698, -1, 1704, 1697, 1695, -1, + 1704, 1703, 1697, -1, 1700, 1704, 1695, -1, + 1707, 1706, 1705, -1, 1707, 1708, 1706, -1, + 1711, 1710, 1709, -1, 1711, 1712, 1710, -1, + 1716, 1714, 1713, -1, 1715, 1716, 1713, -1, + 1717, 1718, 1719, -1, 1720, 1721, 1722, -1, + 1726, 1724, 1725, -1, 1727, 1728, 1724, -1, + 1728, 1723, 1724, -1, 1726, 1727, 1724, -1, + 1729, 1730, 1731, -1, 1734, 1735, 1733, -1, + 1735, 1732, 1733, -1, 1737, 1738, 1736, -1, + 1741, 1740, 1739, -1, 1741, 1742, 1740, -1, + 1745, 1744, 1743, -1, 1745, 1746, 1744, -1, + 1749, 1748, 1747, -1, 1749, 1750, 1748, -1, + 1753, 1751, 1752, -1, 1756, 1754, 1755, -1, + 1759, 1758, 1757, -1, 1759, 1760, 1758, -1, + 1763, 1762, 1761, -1, 1763, 1764, 1762, -1, + 1767, 1766, 1765, -1, 1767, 1768, 1766, -1, + 1770, 1771, 1769, -1, 1774, 1775, 1773, -1, + 1775, 1772, 1773, -1, 1778, 1777, 1776, -1, + 1778, 1779, 1777, -1, 1782, 1781, 1780, -1, + 1782, 1783, 1781, -1, 1786, 1785, 1784, -1, + 1786, 1787, 1785, -1, 1790, 1789, 1788, -1, + 1790, 1791, 1789, -1, 1794, 1795, 1792, -1, + 1793, 1794, 1792, -1, 1799, 1800, 1796, -1, + 1797, 1799, 1796, -1, 1798, 1799, 1797, -1, + 1802, 1804, 1801, -1, 1803, 1804, 1802, -1, + 1807, 1806, 1805, -1, 1807, 1808, 1806, -1, + 1811, 1810, 1809, -1, 1811, 1812, 1810, -1, + 1815, 1814, 1813, -1, 1815, 1816, 1814, -1, + 1819, 1818, 1817, -1, 1819, 1820, 1818, -1, + 1823, 1822, 1821, -1, 1823, 1824, 1822, -1, + 1827, 1826, 1825, -1, 1827, 1828, 1826, -1, + 1831, 1830, 1829, -1, 1831, 1832, 1830, -1, + 1835, 1834, 1833, -1, 1835, 1836, 1834, -1, + 1839, 1838, 1837, -1, 1839, 1840, 1838, -1, + 1843, 1844, 1842, -1, 1844, 1841, 1842, -1, + 1844, 1845, 1841, -1, 1847, 1849, 1846, -1, + 1847, 1848, 1849, -1, 1852, 1851, 1850, -1, + 1852, 1853, 1851, -1, 1856, 1855, 1854, -1, + 1856, 1857, 1855, -1, 1860, 1859, 1858, -1, + 1860, 1861, 1859, -1, 1864, 1863, 1862, -1, + 1864, 1865, 1863, -1, 1868, 1867, 1866, -1, + 1868, 1869, 1867, -1, 1872, 1871, 1870, -1, + 1872, 1873, 1871, -1, 1876, 1875, 1874, -1, + 1876, 1877, 1875, -1, 1880, 1879, 1878, -1, + 1880, 1881, 1879, -1, 1884, 1883, 1882, -1, + 1884, 1885, 1883, -1, 1888, 1893, 1894, -1, + 1894, 1893, 1895, -1, 1895, 1892, 1896, -1, + 1893, 1892, 1895, -1, 1896, 1891, 1897, -1, + 1892, 1891, 1896, -1, 1897, 1890, 1898, -1, + 1898, 1890, 1899, -1, 1891, 1890, 1897, -1, + 1890, 1889, 1899, -1, 1899, 1887, 1886, -1, + 1889, 1887, 1899, -1, 1902, 1901, 1900, -1, + 1902, 1903, 1901, -1, 1912, 1906, 1913, -1, + 1913, 1911, 1914, -1, 1906, 1911, 1913, -1, + 1914, 1910, 1915, -1, 1911, 1910, 1914, -1, + 1915, 1909, 1916, -1, 1910, 1909, 1915, -1, + 1916, 1908, 1917, -1, 1909, 1908, 1916, -1, + 1917, 1907, 1904, -1, 1908, 1907, 1917, -1, + 1907, 1905, 1904, -1, 1920, 1919, 1918, -1, + 1920, 1921, 1919, -1, 1931, 1923, 1929, -1, + 1932, 1929, 1928, -1, 1932, 1931, 1929, -1, + 1933, 1928, 1927, -1, 1933, 1932, 1928, -1, + 1934, 1927, 1926, -1, 1934, 1933, 1927, -1, + 1935, 1926, 1925, -1, 1935, 1934, 1926, -1, + 1936, 1925, 1924, -1, 1936, 1935, 1925, -1, + 1937, 1924, 1922, -1, 1937, 1936, 1924, -1, + 1930, 1937, 1922, -1, 1940, 1939, 1938, -1, + 1940, 1941, 1939, -1, 1944, 1949, 1950, -1, + 1950, 1949, 1951, -1, 1951, 1948, 1952, -1, + 1949, 1948, 1951, -1, 1952, 1947, 1953, -1, + 1948, 1947, 1952, -1, 1953, 1946, 1954, -1, + 1954, 1946, 1955, -1, 1947, 1946, 1953, -1, + 1955, 1945, 1942, -1, 1946, 1945, 1955, -1, + 1945, 1943, 1942, -1, 1958, 1957, 1956, -1, + 1958, 1959, 1957, -1, 1968, 1962, 1969, -1, + 1969, 1967, 1970, -1, 1962, 1967, 1969, -1, + 1970, 1966, 1971, -1, 1967, 1966, 1970, -1, + 1971, 1965, 1972, -1, 1966, 1965, 1971, -1, + 1965, 1964, 1972, -1, 1972, 1963, 1973, -1, + 1964, 1963, 1972, -1, 1973, 1961, 1960, -1, + 1963, 1961, 1973, -1, 1976, 1975, 1974, -1, + 1976, 1977, 1975, -1, 1988, 1979, 1985, -1, + 1988, 1987, 1979, -1, 1989, 1985, 1984, -1, + 1989, 1988, 1985, -1, 1990, 1984, 1983, -1, + 1990, 1989, 1984, -1, 1991, 1983, 1982, -1, + 1991, 1990, 1983, -1, 1992, 1982, 1981, -1, + 1992, 1991, 1982, -1, 1993, 1981, 1980, -1, + 1993, 1992, 1981, -1, 1986, 1980, 1978, -1, + 1986, 1993, 1980, -1, 1996, 1995, 1994, -1, + 1996, 1997, 1995, -1, 2000, 2005, 2006, -1, + 2006, 2005, 2007, -1, 2007, 2004, 2008, -1, + 2005, 2004, 2007, -1, 2008, 2003, 2009, -1, + 2004, 2003, 2008, -1, 2009, 2002, 2010, -1, + 2003, 2002, 2009, -1, 2010, 2001, 2011, -1, + 2002, 2001, 2010, -1, 2011, 1999, 1998, -1, + 2001, 1999, 2011, -1, 2014, 2018, 2019, -1, + 2019, 2018, 2020, -1, 2020, 2017, 2021, -1, + 2018, 2017, 2020, -1, 2021, 2016, 2022, -1, + 2017, 2016, 2021, -1, 2022, 2015, 2023, -1, + 2016, 2015, 2022, -1, 2023, 2013, 2012, -1, + 2015, 2013, 2023, -1, 2026, 2025, 2024, -1, + 2026, 2027, 2025, -1, 2037, 2029, 2035, -1, + 2038, 2035, 2034, -1, 2038, 2037, 2035, -1, + 2039, 2034, 2033, -1, 2039, 2038, 2034, -1, + 2040, 2033, 2032, -1, 2040, 2039, 2033, -1, + 2041, 2032, 2031, -1, 2041, 2040, 2032, -1, + 2042, 2041, 2031, -1, 2043, 2031, 2030, -1, + 2043, 2042, 2031, -1, 2036, 2030, 2028, -1, + 2036, 2043, 2030, -1, 2046, 2045, 2044, -1, + 2046, 2047, 2045, -1, 2056, 2050, 2057, -1, + 2057, 2055, 2058, -1, 2050, 2055, 2057, -1, + 2058, 2054, 2059, -1, 2055, 2054, 2058, -1, + 2059, 2053, 2060, -1, 2054, 2053, 2059, -1, + 2060, 2052, 2061, -1, 2053, 2052, 2060, -1, + 2052, 2051, 2061, -1, 2061, 2049, 2048, -1, + 2051, 2049, 2061, -1, 2064, 2063, 2062, -1, + 2064, 2065, 2063, -1, 2074, 2068, 2075, -1, + 2075, 2073, 2076, -1, 2068, 2073, 2075, -1, + 2076, 2072, 2077, -1, 2073, 2072, 2076, -1, + 2077, 2071, 2078, -1, 2072, 2071, 2077, -1, + 2078, 2070, 2079, -1, 2071, 2070, 2078, -1, + 2079, 2069, 2066, -1, 2070, 2069, 2079, -1, + 2069, 2067, 2066, -1, 2082, 2081, 2080, -1, + 2082, 2083, 2081, -1, 2094, 2085, 2091, -1, + 2094, 2093, 2085, -1, 2095, 2091, 2090, -1, + 2095, 2094, 2091, -1, 2096, 2090, 2089, -1, + 2096, 2095, 2090, -1, 2097, 2089, 2088, -1, + 2097, 2096, 2089, -1, 2098, 2088, 2087, -1, + 2098, 2097, 2088, -1, 2099, 2087, 2086, -1, + 2099, 2098, 2087, -1, 2092, 2086, 2084, -1, + 2092, 2099, 2086, -1, 2199, 2200, 2201, -1, + 2198, 2201, 2202, -1, 2198, 2202, 2203, -1, + 2198, 2203, 2204, -1, 2197, 2199, 2201, -1, + 2197, 2201, 2198, -1, 2191, 2197, 2198, -1, + 2196, 2191, 2198, -1, 2195, 2196, 2198, -1, + 2205, 2195, 2198, -1, 2226, 2205, 2207, -1, + 2226, 2207, 2208, -1, 2226, 2208, 2209, -1, + 2226, 2209, 2210, -1, 2226, 2210, 2211, -1, + 2226, 2195, 2205, -1, 2226, 2190, 2192, -1, + 2226, 2192, 2193, -1, 2226, 2193, 2194, -1, + 2226, 2194, 2195, -1, 2184, 2190, 2226, -1, + 2225, 2211, 2206, -1, 2225, 2226, 2211, -1, + 2181, 2182, 2176, -1, 2175, 2177, 2178, -1, + 2175, 2178, 2179, -1, 2175, 2179, 2180, -1, + 2175, 2180, 2181, -1, 2175, 2176, 2183, -1, + 2175, 2183, 2185, -1, 2175, 2185, 2186, -1, + 2175, 2181, 2176, -1, 2223, 2184, 2226, -1, + 2223, 2186, 2187, -1, 2223, 2187, 2188, -1, + 2223, 2188, 2189, -1, 2223, 2189, 2184, -1, + 2169, 2175, 2186, -1, 2169, 2186, 2223, -1, + 2174, 2169, 2223, -1, 2173, 2174, 2223, -1, + 2172, 2173, 2223, -1, 2171, 2172, 2223, -1, + 2170, 2171, 2223, -1, 2170, 2223, 2224, -1, + 2168, 2170, 2224, -1, 2161, 2168, 2224, -1, + 2167, 2161, 2224, -1, 2166, 2167, 2224, -1, + 2165, 2166, 2224, -1, 2222, 2206, 2212, -1, + 2222, 2212, 2214, -1, 2222, 2225, 2206, -1, + 2164, 2165, 2224, -1, 2157, 2158, 2159, -1, + 2157, 2159, 2153, -1, 2221, 2214, 2215, -1, + 2221, 2215, 2216, -1, 2221, 2216, 2217, -1, + 2221, 2217, 2218, -1, 2221, 2218, 2213, -1, + 2221, 2222, 2214, -1, 2152, 2154, 2155, -1, + 2152, 2155, 2156, -1, 2152, 2156, 2157, -1, + 2152, 2153, 2160, -1, 2152, 2157, 2153, -1, + 2103, 2100, 2102, -1, 2104, 2100, 2103, -1, + 2105, 2100, 2104, -1, 2107, 2105, 2106, -1, + 2107, 2100, 2105, -1, 2101, 2100, 2107, -1, + 2108, 2100, 2101, -1, 2110, 2100, 2108, -1, + 2111, 2213, 2100, -1, 2111, 2221, 2213, -1, + 2111, 2100, 2110, -1, 2112, 2221, 2111, -1, + 2113, 2221, 2112, -1, 2219, 2224, 2225, -1, + 2219, 2225, 2222, -1, 2114, 2221, 2113, -1, + 2109, 2221, 2114, -1, 2141, 2164, 2224, -1, + 2141, 2224, 2219, -1, 2140, 2141, 2219, -1, + 2142, 2164, 2141, -1, 2142, 2162, 2163, -1, + 2142, 2163, 2164, -1, 2139, 2140, 2219, -1, + 2143, 2162, 2142, -1, 2143, 2160, 2162, -1, + 2138, 2139, 2219, -1, 2137, 2160, 2143, -1, + 2137, 2152, 2160, -1, 2136, 2138, 2219, -1, + 2145, 2152, 2137, -1, 2145, 2137, 2144, -1, + 2151, 2145, 2144, -1, 2147, 2144, 2146, -1, + 2148, 2144, 2147, -1, 2149, 2151, 2144, -1, + 2149, 2144, 2148, -1, 2149, 2150, 2151, -1, + 2131, 2136, 2219, -1, 2135, 2219, 2220, -1, + 2135, 2131, 2219, -1, 2134, 2135, 2220, -1, + 2133, 2134, 2220, -1, 2132, 2133, 2220, -1, + 2130, 2132, 2220, -1, 2115, 2221, 2109, -1, + 2115, 2220, 2221, -1, 2117, 2220, 2115, -1, + 2118, 2220, 2117, -1, 2119, 2220, 2118, -1, + 2120, 2130, 2220, -1, 2120, 2220, 2119, -1, + 2123, 2120, 2121, -1, 2123, 2121, 2116, -1, + 2123, 2116, 2122, -1, 2123, 2130, 2120, -1, + 2125, 2122, 2124, -1, 2128, 2129, 2123, -1, + 2128, 2123, 2122, -1, 2127, 2125, 2126, -1, + 2127, 2122, 2125, -1, 2127, 2128, 2122, -1, + 2230, 2227, 2228, -1, 2230, 2228, 2229, -1, + 2234, 2231, 2232, -1, 2234, 2232, 2233, -1, + 2237, 2236, 2235, -1, 2237, 2238, 2236, -1, + 2249, 2239, 2241, -1, 2249, 2248, 2239, -1, + 2250, 2241, 2242, -1, 2250, 2249, 2241, -1, + 2251, 2242, 2243, -1, 2251, 2250, 2242, -1, + 2252, 2243, 2244, -1, 2252, 2251, 2243, -1, + 2253, 2244, 2245, -1, 2253, 2252, 2244, -1, + 2254, 2245, 2246, -1, 2254, 2253, 2245, -1, + 2247, 2246, 2240, -1, 2247, 2254, 2246, -1, + 2257, 2255, 2256, -1, 2257, 2258, 2255, -1, + 2269, 2259, 2261, -1, 2269, 2268, 2259, -1, + 2270, 2261, 2262, -1, 2270, 2269, 2261, -1, + 2271, 2262, 2263, -1, 2271, 2270, 2262, -1, + 2272, 2263, 2264, -1, 2272, 2271, 2263, -1, + 2273, 2264, 2265, -1, 2273, 2272, 2264, -1, + 2274, 2265, 2266, -1, 2274, 2266, 2260, -1, + 2274, 2273, 2265, -1, 2267, 2274, 2260, -1, + 2277, 2275, 2276, -1, 2277, 2278, 2275, -1, + 2281, 2287, 2288, -1, 2288, 2287, 2289, -1, + 2289, 2286, 2290, -1, 2287, 2286, 2289, -1, + 2290, 2285, 2291, -1, 2286, 2285, 2290, -1, + 2291, 2284, 2292, -1, 2285, 2284, 2291, -1, + 2292, 2283, 2293, -1, 2284, 2283, 2292, -1, + 2293, 2282, 2294, -1, 2283, 2282, 2293, -1, + 2294, 2280, 2279, -1, 2282, 2280, 2294, -1, + 2296, 2300, 2295, -1, 2298, 2299, 2300, -1, + 2297, 2300, 2296, -1, 2297, 2298, 2300, -1, + 2303, 2302, 2301, -1, 2303, 2304, 2302, -1, + 2314, 2305, 2307, -1, 2314, 2313, 2305, -1, + 2315, 2307, 2308, -1, 2315, 2314, 2307, -1, + 2316, 2308, 2309, -1, 2316, 2315, 2308, -1, + 2317, 2309, 2310, -1, 2317, 2316, 2309, -1, + 2318, 2310, 2311, -1, 2318, 2317, 2310, -1, + 2312, 2311, 2306, -1, 2312, 2318, 2311, -1, + 2321, 2320, 2319, -1, 2321, 2322, 2320, -1, + 2333, 2323, 2325, -1, 2333, 2332, 2323, -1, + 2334, 2325, 2326, -1, 2334, 2333, 2325, -1, + 2335, 2326, 2327, -1, 2335, 2334, 2326, -1, + 2336, 2327, 2328, -1, 2336, 2335, 2327, -1, + 2337, 2328, 2329, -1, 2337, 2336, 2328, -1, + 2338, 2329, 2330, -1, 2338, 2337, 2329, -1, + 2331, 2330, 2324, -1, 2331, 2338, 2330, -1, + 2341, 2340, 2339, -1, 2341, 2342, 2340, -1, + 2353, 2343, 2345, -1, 2353, 2352, 2343, -1, + 2354, 2345, 2346, -1, 2354, 2353, 2345, -1, + 2355, 2346, 2347, -1, 2355, 2354, 2346, -1, + 2356, 2347, 2348, -1, 2356, 2355, 2347, -1, + 2357, 2348, 2349, -1, 2357, 2356, 2348, -1, + 2358, 2349, 2350, -1, 2358, 2357, 2349, -1, + 2351, 2350, 2344, -1, 2351, 2358, 2350, -1, + 2361, 2359, 2360, -1, 2361, 2362, 2359, -1, + 2365, 2364, 2363, -1, 2365, 2366, 2364, -1, + 2369, 2367, 2368, -1, 2369, 2370, 2367, -1, + 2373, 2372, 2371, -1, 2373, 2374, 2372, -1, + 2377, 2376, 2375, -1, 2377, 2378, 2376, -1, + 2381, 2380, 2379, -1, 2381, 2382, 2380, -1, + 2385, 2384, 2383, -1, 2385, 2386, 2384, -1, + 2397, 2387, 2389, -1, 2397, 2396, 2387, -1, + 2398, 2389, 2390, -1, 2398, 2397, 2389, -1, + 2399, 2390, 2391, -1, 2399, 2398, 2390, -1, + 2400, 2391, 2392, -1, 2400, 2399, 2391, -1, + 2401, 2392, 2393, -1, 2401, 2400, 2392, -1, + 2402, 2393, 2394, -1, 2402, 2401, 2393, -1, + 2395, 2394, 2388, -1, 2395, 2402, 2394, -1, + 2405, 2404, 2403, -1, 2405, 2406, 2404, -1, + 2417, 2407, 2409, -1, 2417, 2416, 2407, -1, + 2418, 2409, 2410, -1, 2418, 2417, 2409, -1, + 2419, 2410, 2411, -1, 2419, 2418, 2410, -1, + 2420, 2411, 2412, -1, 2420, 2419, 2411, -1, + 2421, 2412, 2413, -1, 2421, 2420, 2412, -1, + 2422, 2413, 2414, -1, 2422, 2421, 2413, -1, + 2415, 2414, 2408, -1, 2415, 2422, 2414, -1, + 2425, 2424, 2423, -1, 2425, 2426, 2424, -1, + 2437, 2427, 2429, -1, 2437, 2436, 2427, -1, + 2438, 2429, 2430, -1, 2438, 2437, 2429, -1, + 2439, 2430, 2431, -1, 2439, 2438, 2430, -1, + 2440, 2431, 2432, -1, 2440, 2439, 2431, -1, + 2441, 2432, 2433, -1, 2441, 2440, 2432, -1, + 2442, 2433, 2434, -1, 2442, 2441, 2433, -1, + 2435, 2434, 2428, -1, 2435, 2442, 2434, -1, + 2445, 2444, 2443, -1, 2445, 2446, 2444, -1, + 2449, 2447, 2448, -1, 2452, 2447, 2449, -1, + 2451, 2449, 2450, -1, 2451, 2452, 2449, -1, + 2462, 2455, 2463, -1, 2455, 2461, 2463, -1, + 2463, 2460, 2464, -1, 2464, 2460, 2465, -1, + 2461, 2460, 2463, -1, 2465, 2459, 2466, -1, + 2460, 2459, 2465, -1, 2466, 2458, 2467, -1, + 2459, 2458, 2466, -1, 2467, 2457, 2468, -1, + 2458, 2457, 2467, -1, 2468, 2456, 2453, -1, + 2457, 2456, 2468, -1, 2456, 2454, 2453, -1, + 2509, 2538, 2532, -1, 2509, 2532, 2539, -1, + 2509, 2540, 2502, -1, 2509, 2539, 2540, -1, + 2516, 2510, 2533, -1, 2511, 2537, 2538, -1, + 2511, 2538, 2509, -1, 2515, 2516, 2533, -1, + 2515, 2533, 2534, -1, 2512, 2537, 2511, -1, + 2512, 2536, 2537, -1, 2514, 2515, 2534, -1, + 2514, 2534, 2535, -1, 2513, 2536, 2512, -1, + 2513, 2514, 2535, -1, 2513, 2535, 2536, -1, + 2497, 2498, 2561, -1, 2497, 2561, 2562, -1, + 2497, 2488, 2495, -1, 2497, 2562, 2568, -1, + 2497, 2495, 2496, -1, 2497, 2568, 2569, -1, + 2579, 2491, 2492, -1, 2580, 2490, 2491, -1, + 2580, 2491, 2579, -1, 2578, 2492, 2493, -1, + 2578, 2579, 2492, -1, 2581, 2489, 2490, -1, + 2581, 2490, 2580, -1, 2577, 2493, 2494, -1, + 2577, 2578, 2493, -1, 2582, 2487, 2489, -1, + 2582, 2489, 2581, -1, 2575, 2494, 2488, -1, + 2575, 2488, 2497, -1, 2575, 2577, 2494, -1, + 2576, 2487, 2582, -1, 2583, 2487, 2576, -1, + 2586, 2583, 2585, -1, 2587, 2583, 2586, -1, + 2584, 2587, 2588, -1, 2584, 2588, 2589, -1, + 2584, 2487, 2583, -1, 2584, 2583, 2587, -1, + 2569, 2575, 2497, -1, 2572, 2573, 2574, -1, + 2570, 2571, 2572, -1, 2570, 2572, 2574, -1, + 2568, 2574, 2569, -1, 2568, 2570, 2574, -1, + 2590, 2487, 2584, -1, 2480, 2487, 2590, -1, + 2596, 2592, 2593, -1, 2596, 2593, 2594, -1, + 2596, 2594, 2595, -1, 2591, 2480, 2590, -1, + 2591, 2590, 2592, -1, 2591, 2592, 2596, -1, + 2597, 2480, 2591, -1, 2599, 2486, 2480, -1, + 2599, 2480, 2597, -1, 2600, 2485, 2486, -1, + 2600, 2486, 2599, -1, 2601, 2484, 2485, -1, + 2601, 2485, 2600, -1, 2602, 2484, 2601, -1, + 2483, 2602, 2603, -1, 2483, 2484, 2602, -1, + 2482, 2603, 2604, -1, 2482, 2483, 2603, -1, + 2481, 2482, 2604, -1, 2479, 2604, 2598, -1, + 2479, 2481, 2604, -1, 2605, 2479, 2598, -1, + 2608, 2606, 2607, -1, 2610, 2608, 2609, -1, + 2610, 2606, 2608, -1, 2469, 2605, 2606, -1, + 2469, 2606, 2610, -1, 2472, 2479, 2605, -1, + 2472, 2605, 2469, -1, 2471, 2469, 2470, -1, + 2471, 2472, 2469, -1, 2473, 2472, 2471, -1, + 2477, 2478, 2472, -1, 2475, 2473, 2474, -1, + 2475, 2472, 2473, -1, 2476, 2477, 2472, -1, + 2476, 2472, 2475, -1, 2565, 2566, 2567, -1, + 2564, 2565, 2567, -1, 2563, 2564, 2567, -1, + 2561, 2563, 2567, -1, 2561, 2567, 2562, -1, + 2501, 2498, 2499, -1, 2501, 2499, 2500, -1, + 2554, 2498, 2501, -1, 2554, 2501, 2503, -1, + 2554, 2561, 2498, -1, 2560, 2554, 2503, -1, + 2560, 2503, 2504, -1, 2559, 2560, 2504, -1, + 2559, 2504, 2505, -1, 2558, 2559, 2505, -1, + 2506, 2558, 2505, -1, 2506, 2557, 2558, -1, + 2507, 2557, 2506, -1, 2507, 2556, 2557, -1, + 2550, 2551, 2552, -1, 2508, 2556, 2507, -1, + 2508, 2555, 2556, -1, 2548, 2549, 2550, -1, + 2548, 2550, 2552, -1, 2502, 2555, 2508, -1, + 2502, 2547, 2553, -1, 2502, 2553, 2555, -1, + 2546, 2547, 2502, -1, 2546, 2548, 2552, -1, + 2546, 2552, 2547, -1, 2518, 2524, 2525, -1, + 2528, 2525, 2527, -1, 2529, 2525, 2528, -1, + 2520, 2521, 2522, -1, 2520, 2522, 2523, -1, + 2517, 2519, 2520, -1, 2517, 2520, 2523, -1, + 2517, 2523, 2518, -1, 2517, 2518, 2525, -1, + 2526, 2529, 2530, -1, 2526, 2525, 2529, -1, + 2526, 2517, 2525, -1, 2540, 2546, 2502, -1, + 2544, 2545, 2540, -1, 2543, 2544, 2540, -1, + 2541, 2542, 2543, -1, 2541, 2543, 2540, -1, + 2539, 2541, 2540, -1, 2510, 2526, 2531, -1, + 2510, 2531, 2533, -1, 2510, 2517, 2526, -1, + 2616, 2638, 2639, -1, 2614, 2641, 2670, -1, + 2670, 2641, 2669, -1, 2640, 2641, 2614, -1, + 2612, 2637, 2618, -1, 2618, 2637, 2638, -1, + 2641, 2642, 2669, -1, 2612, 2635, 2637, -1, + 2620, 2635, 2612, -1, 2642, 2636, 2669, -1, + 2676, 2680, 2677, -1, 2677, 2680, 2678, -1, + 2678, 2680, 2679, -1, 2636, 2643, 2669, -1, + 2676, 2675, 2680, -1, 2669, 2643, 2659, -1, + 2675, 2673, 2680, -1, 2675, 2674, 2673, -1, + 2620, 2627, 2635, -1, 2674, 2670, 2673, -1, + 2635, 2627, 2628, -1, 2672, 2670, 2671, -1, + 2673, 2670, 2672, -1, 2627, 2634, 2628, -1, + 2628, 2630, 2629, -1, 2634, 2633, 2628, -1, + 2628, 2631, 2630, -1, 2633, 2632, 2628, -1, + 2628, 2632, 2631, -1, 2668, 2660, 2667, -1, + 2669, 2660, 2668, -1, 2624, 2623, 2625, -1, + 2683, 2682, 2684, -1, 2684, 2682, 2685, -1, + 2685, 2682, 2686, -1, 2686, 2682, 2687, -1, + 2687, 2682, 2688, -1, 2688, 2682, 2681, -1, + 2682, 2697, 2681, -1, 2681, 2697, 2674, -1, + 2674, 2697, 2670, -1, 2682, 2689, 2697, -1, + 2689, 2690, 2697, -1, 2619, 2620, 2612, -1, + 2621, 2620, 2622, -1, 2622, 2620, 2623, -1, + 2689, 2691, 2690, -1, 2625, 2620, 2626, -1, + 2626, 2620, 2619, -1, 2623, 2620, 2625, -1, + 2697, 2611, 2670, -1, 2648, 2647, 2649, -1, + 2649, 2647, 2650, -1, 2696, 2695, 2689, -1, + 2661, 2663, 2662, -1, 2689, 2693, 2691, -1, + 2691, 2693, 2692, -1, 2689, 2694, 2693, -1, + 2695, 2694, 2689, -1, 2644, 2645, 2643, -1, + 2646, 2645, 2647, -1, 2611, 2613, 2670, -1, + 2650, 2645, 2644, -1, 2647, 2645, 2650, -1, + 2661, 2664, 2663, -1, 2645, 2651, 2643, -1, + 2643, 2652, 2659, -1, 2651, 2652, 2643, -1, + 2660, 2666, 2661, -1, 2664, 2666, 2665, -1, + 2613, 2614, 2670, -1, 2651, 2658, 2652, -1, + 2661, 2666, 2664, -1, 2669, 2659, 2660, -1, + 2660, 2659, 2666, -1, 2652, 2654, 2653, -1, + 2616, 2639, 2615, -1, 2657, 2656, 2658, -1, + 2658, 2655, 2652, -1, 2652, 2655, 2654, -1, + 2656, 2655, 2658, -1, 2615, 2640, 2614, -1, + 2639, 2640, 2615, -1, 2617, 2638, 2616, -1, + 2618, 2638, 2617, -1, 2701, 2698, 2699, -1, + 2701, 2699, 2700, -1, 2704, 2703, 2702, -1, + 2704, 2705, 2703, -1, 2710, 2706, 2708, -1, + 2710, 2708, 2709, -1, 2711, 2707, 2706, -1, + 2711, 2706, 2710, -1, 2715, 2712, 2713, -1, + 2715, 2713, 2714, -1, 2717, 2716, 2718, -1, + 2717, 2718, 2719, -1, 2717, 2719, 2720, -1, + 2717, 2720, 2721, -1, 2723, 2722, 2724, -1, + 2723, 2724, 2725, -1, 2729, 2726, 2727, -1, + 2729, 2727, 2728, -1, 2732, 2731, 2730, -1, + 2732, 2733, 2731, -1, 2738, 2734, 2736, -1, + 2738, 2736, 2737, -1, 2739, 2735, 2734, -1, + 2739, 2734, 2738, -1, 2743, 2740, 2741, -1, + 2743, 2741, 2742, -1, 2745, 2744, 2746, -1, + 2745, 2746, 2747, -1, 2745, 2747, 2748, -1, + 2745, 2748, 2749, -1, 2751, 2750, 2752, -1, + 2751, 2752, 2753, -1, 2808, 2756, 2757, -1, + 2808, 2806, 2807, -1, 2809, 2757, 2758, -1, + 2809, 2758, 2759, -1, 2809, 2804, 2805, -1, + 2809, 2805, 2806, -1, 2809, 2808, 2757, -1, + 2809, 2806, 2808, -1, 2810, 2804, 2809, -1, + 2810, 2759, 2760, -1, 2810, 2803, 2804, -1, + 2810, 2809, 2759, -1, 2811, 2760, 2761, -1, + 2811, 2761, 2762, -1, 2811, 2810, 2760, -1, + 2811, 2803, 2810, -1, 2811, 2802, 2803, -1, + 2812, 2762, 2763, -1, 2812, 2800, 2801, -1, + 2812, 2801, 2802, -1, 2812, 2811, 2762, -1, + 2812, 2802, 2811, -1, 2813, 2763, 2764, -1, + 2813, 2799, 2800, -1, 2813, 2800, 2812, -1, + 2813, 2812, 2763, -1, 2814, 2764, 2765, -1, + 2814, 2813, 2764, -1, 2814, 2765, 2766, -1, + 2814, 2799, 2813, -1, 2814, 2797, 2798, -1, + 2814, 2798, 2799, -1, 2815, 2797, 2814, -1, + 2815, 2766, 2767, -1, 2815, 2796, 2797, -1, + 2815, 2814, 2766, -1, 2816, 2767, 2768, -1, + 2816, 2768, 2769, -1, 2816, 2794, 2795, -1, + 2816, 2795, 2796, -1, 2816, 2796, 2815, -1, + 2816, 2815, 2767, -1, 2817, 2769, 2770, -1, + 2817, 2793, 2794, -1, 2817, 2794, 2816, -1, + 2817, 2816, 2769, -1, 2818, 2770, 2771, -1, + 2818, 2771, 2772, -1, 2818, 2791, 2792, -1, + 2818, 2792, 2793, -1, 2818, 2817, 2770, -1, + 2818, 2793, 2817, -1, 2819, 2772, 2773, -1, + 2819, 2790, 2791, -1, 2819, 2818, 2772, -1, + 2819, 2791, 2818, -1, 2820, 2773, 2774, -1, + 2820, 2774, 2775, -1, 2820, 2789, 2790, -1, + 2820, 2819, 2773, -1, 2820, 2790, 2819, -1, + 2821, 2820, 2775, -1, 2821, 2775, 2776, -1, + 2821, 2787, 2788, -1, 2821, 2789, 2820, -1, + 2821, 2788, 2789, -1, 2822, 2821, 2776, -1, + 2822, 2787, 2821, -1, 2822, 2776, 2777, -1, + 2822, 2786, 2787, -1, 2823, 2822, 2777, -1, + 2823, 2777, 2778, -1, 2823, 2778, 2779, -1, + 2823, 2784, 2785, -1, 2823, 2785, 2786, -1, + 2823, 2786, 2822, -1, 2824, 2779, 2780, -1, + 2824, 2823, 2779, -1, 2824, 2783, 2784, -1, + 2824, 2784, 2823, -1, 2825, 2754, 2756, -1, + 2825, 2756, 2808, -1, 2826, 2754, 2825, -1, + 2826, 2825, 2808, -1, 2826, 2807, 2782, -1, + 2826, 2782, 2754, -1, 2826, 2808, 2807, -1, + 2827, 2780, 2755, -1, 2827, 2824, 2780, -1, + 2828, 2755, 2781, -1, 2828, 2781, 2783, -1, + 2828, 2824, 2827, -1, 2828, 2783, 2824, -1, + 2828, 2827, 2755, -1, 2849, 2865, 2864, -1, + 2849, 2848, 2865, -1, 2850, 2864, 2863, -1, + 2850, 2849, 2864, -1, 2851, 2863, 2862, -1, + 2851, 2850, 2863, -1, 2831, 2829, 2856, -1, + 2831, 2856, 2882, -1, 2852, 2862, 2861, -1, + 2852, 2851, 2862, -1, 2832, 2882, 2881, -1, + 2832, 2831, 2882, -1, 2853, 2861, 2860, -1, + 2853, 2852, 2861, -1, 2833, 2881, 2880, -1, + 2833, 2832, 2881, -1, 2854, 2860, 2859, -1, + 2854, 2853, 2860, -1, 2834, 2880, 2879, -1, + 2834, 2833, 2880, -1, 2855, 2859, 2858, -1, + 2855, 2854, 2859, -1, 2835, 2879, 2878, -1, + 2830, 2858, 2857, -1, 2835, 2834, 2879, -1, + 2830, 2855, 2858, -1, 2836, 2878, 2877, -1, + 2836, 2835, 2878, -1, 2837, 2877, 2876, -1, + 2837, 2836, 2877, -1, 2838, 2876, 2875, -1, + 2838, 2837, 2876, -1, 2839, 2875, 2874, -1, + 2839, 2838, 2875, -1, 2840, 2874, 2873, -1, + 2840, 2839, 2874, -1, 2841, 2840, 2873, -1, + 2841, 2873, 2872, -1, 2842, 2841, 2872, -1, + 2842, 2872, 2871, -1, 2843, 2842, 2871, -1, + 2843, 2871, 2870, -1, 2844, 2843, 2870, -1, + 2844, 2870, 2869, -1, 2845, 2844, 2869, -1, + 2845, 2869, 2868, -1, 2846, 2845, 2868, -1, + 2846, 2868, 2867, -1, 2847, 2846, 2867, -1, + 2847, 2867, 2866, -1, 2848, 2847, 2866, -1, + 2848, 2866, 2865, -1, 2883, 2904, 2903, -1, + 2883, 2905, 2904, -1, 2883, 2906, 2905, -1, + 2883, 2907, 2906, -1, 2883, 2908, 2907, -1, + 2885, 2884, 2883, -1, 2886, 2885, 2883, -1, + 2888, 2887, 2886, -1, 2888, 2886, 2883, -1, + 2897, 2899, 2898, -1, 2897, 2900, 2899, -1, + 2897, 2901, 2900, -1, 2897, 2902, 2901, -1, + 2897, 2903, 2902, -1, 2897, 2883, 2903, -1, + 2895, 2897, 2896, -1, 2895, 2883, 2897, -1, + 2892, 2889, 2888, -1, 2892, 2890, 2889, -1, + 2892, 2891, 2890, -1, 2892, 2888, 2883, -1, + 2893, 2895, 2894, -1, 2893, 2883, 2895, -1, + 2893, 2892, 2883, -1, 2910, 2909, 2911, -1, + 2910, 2911, 2912, -1, 2933, 2949, 2948, -1, + 2933, 2932, 2949, -1, 2934, 2948, 2947, -1, + 2934, 2933, 2948, -1, 2915, 2913, 2940, -1, + 2935, 2947, 2946, -1, 2935, 2934, 2947, -1, + 2915, 2940, 2966, -1, 2936, 2946, 2945, -1, + 2936, 2935, 2946, -1, 2916, 2966, 2965, -1, + 2916, 2915, 2966, -1, 2937, 2945, 2944, -1, + 2937, 2936, 2945, -1, 2917, 2965, 2964, -1, + 2917, 2916, 2965, -1, 2938, 2944, 2943, -1, + 2938, 2937, 2944, -1, 2918, 2964, 2963, -1, + 2918, 2917, 2964, -1, 2939, 2943, 2942, -1, + 2939, 2938, 2943, -1, 2919, 2963, 2962, -1, + 2914, 2942, 2941, -1, 2919, 2918, 2963, -1, + 2914, 2939, 2942, -1, 2920, 2962, 2961, -1, + 2920, 2919, 2962, -1, 2921, 2961, 2960, -1, + 2921, 2920, 2961, -1, 2922, 2960, 2959, -1, + 2922, 2921, 2960, -1, 2923, 2959, 2958, -1, + 2923, 2922, 2959, -1, 2924, 2958, 2957, -1, + 2924, 2923, 2958, -1, 2925, 2924, 2957, -1, + 2925, 2957, 2956, -1, 2926, 2925, 2956, -1, + 2926, 2956, 2955, -1, 2927, 2926, 2955, -1, + 2927, 2955, 2954, -1, 2928, 2927, 2954, -1, + 2928, 2954, 2953, -1, 2929, 2928, 2953, -1, + 2929, 2953, 2952, -1, 2930, 2929, 2952, -1, + 2930, 2952, 2951, -1, 2931, 2930, 2951, -1, + 2931, 2951, 2950, -1, 2932, 2931, 2950, -1, + 2932, 2950, 2949, -1, 2967, 2968, 2969, -1, + 2967, 2969, 2970, -1, 2967, 2970, 2971, -1, + 2967, 2971, 2972, -1, 2974, 2972, 2973, -1, + 2974, 2967, 2972, -1, 2991, 2992, 2967, -1, + 2976, 2974, 2975, -1, 2976, 2967, 2974, -1, + 2990, 2991, 2967, -1, 2989, 2990, 2967, -1, + 2978, 2976, 2977, -1, 2978, 2967, 2976, -1, + 2988, 2989, 2967, -1, 2987, 2988, 2967, -1, + 2985, 2986, 2987, -1, 2985, 2987, 2967, -1, + 2982, 2978, 2979, -1, 2982, 2979, 2980, -1, + 2982, 2980, 2981, -1, 2982, 2967, 2978, -1, + 2983, 2984, 2985, -1, 2983, 2985, 2967, -1, + 2983, 2967, 2982, -1, 2994, 2993, 2995, -1, + 2994, 2995, 2996, -1, 3017, 3033, 3032, -1, + 3017, 3016, 3033, -1, 3018, 3032, 3031, -1, + 3018, 3017, 3032, -1, 2999, 2997, 3024, -1, + 3019, 3031, 3030, -1, 3019, 3018, 3031, -1, + 2999, 3024, 3050, -1, 3020, 3030, 3029, -1, + 3020, 3019, 3030, -1, 3000, 3050, 3049, -1, + 3000, 2999, 3050, -1, 3021, 3029, 3028, -1, + 3021, 3020, 3029, -1, 3001, 3049, 3048, -1, + 3001, 3000, 3049, -1, 3022, 3028, 3027, -1, + 3022, 3021, 3028, -1, 3002, 3048, 3047, -1, + 3002, 3001, 3048, -1, 3023, 3027, 3026, -1, + 3023, 3022, 3027, -1, 3003, 3047, 3046, -1, + 2998, 3026, 3025, -1, 3003, 3002, 3047, -1, + 2998, 3023, 3026, -1, 3004, 3046, 3045, -1, + 3004, 3003, 3046, -1, 3005, 3045, 3044, -1, + 3005, 3004, 3045, -1, 3006, 3044, 3043, -1, + 3006, 3005, 3044, -1, 3007, 3043, 3042, -1, + 3007, 3006, 3043, -1, 3008, 3042, 3041, -1, + 3008, 3007, 3042, -1, 3009, 3008, 3041, -1, + 3009, 3041, 3040, -1, 3010, 3009, 3040, -1, + 3010, 3040, 3039, -1, 3011, 3010, 3039, -1, + 3011, 3039, 3038, -1, 3012, 3011, 3038, -1, + 3012, 3038, 3037, -1, 3013, 3012, 3037, -1, + 3013, 3037, 3036, -1, 3014, 3013, 3036, -1, + 3014, 3036, 3035, -1, 3015, 3014, 3035, -1, + 3015, 3035, 3034, -1, 3016, 3015, 3034, -1, + 3016, 3034, 3033, -1, 3058, 3056, 3057, -1, + 3067, 3051, 3052, -1, 3067, 3052, 3053, -1, + 3067, 3053, 3054, -1, 3067, 3054, 3055, -1, + 3067, 3055, 3056, -1, 3067, 3058, 3059, -1, + 3067, 3059, 3060, -1, 3067, 3062, 3063, -1, + 3067, 3063, 3064, -1, 3067, 3064, 3065, -1, + 3067, 3065, 3066, -1, 3067, 3068, 3069, -1, + 3067, 3069, 3070, -1, 3067, 3070, 3071, -1, + 3067, 3071, 3072, -1, 3067, 3072, 3073, -1, + 3067, 3073, 3074, -1, 3067, 3074, 3075, -1, + 3067, 3075, 3076, -1, 3067, 3076, 3051, -1, + 3067, 3056, 3058, -1, 3067, 3060, 3062, -1, + 3062, 3060, 3061, -1, 3079, 3077, 3078, -1, + 3079, 3080, 3077, -1, 3083, 3081, 3082, -1, + 3083, 3084, 3081, -1, 3087, 3085, 3086, -1, + 3087, 3088, 3085, -1, 3091, 3089, 3090, -1, + 3091, 3092, 3089, -1, 3095, 3093, 3094, -1, + 3095, 3096, 3093, -1, 3099, 3097, 3098, -1, + 3099, 3100, 3097, -1, 3103, 3101, 3102, -1, + 3103, 3104, 3101, -1, 3115, 3105, 3107, -1, + 3115, 3114, 3105, -1, 3116, 3107, 3108, -1, + 3116, 3115, 3107, -1, 3117, 3108, 3109, -1, + 3117, 3116, 3108, -1, 3118, 3109, 3110, -1, + 3118, 3117, 3109, -1, 3119, 3110, 3111, -1, + 3119, 3118, 3110, -1, 3120, 3111, 3112, -1, + 3120, 3119, 3111, -1, 3113, 3112, 3106, -1, + 3113, 3120, 3112, -1, 3123, 3121, 3122, -1, + 3123, 3124, 3121, -1, 3135, 3125, 3127, -1, + 3135, 3134, 3125, -1, 3136, 3127, 3128, -1, + 3136, 3135, 3127, -1, 3137, 3128, 3129, -1, + 3137, 3136, 3128, -1, 3138, 3129, 3130, -1, + 3138, 3137, 3129, -1, 3139, 3130, 3131, -1, + 3139, 3138, 3130, -1, 3140, 3131, 3132, -1, + 3140, 3139, 3131, -1, 3133, 3132, 3126, -1, + 3133, 3140, 3132, -1, 3143, 3141, 3142, -1, + 3143, 3144, 3141, -1, 3155, 3145, 3147, -1, + 3155, 3154, 3145, -1, 3156, 3147, 3148, -1, + 3156, 3155, 3147, -1, 3157, 3148, 3149, -1, + 3157, 3156, 3148, -1, 3158, 3149, 3150, -1, + 3158, 3157, 3149, -1, 3159, 3150, 3151, -1, + 3159, 3158, 3150, -1, 3160, 3151, 3152, -1, + 3160, 3152, 3146, -1, 3160, 3159, 3151, -1, + 3153, 3160, 3146, -1, 3163, 3161, 3162, -1, + 3163, 3164, 3161, -1, 3175, 3165, 3167, -1, + 3175, 3174, 3165, -1, 3176, 3167, 3168, -1, + 3176, 3175, 3167, -1, 3177, 3168, 3169, -1, + 3177, 3176, 3168, -1, 3178, 3169, 3170, -1, + 3178, 3177, 3169, -1, 3179, 3170, 3171, -1, + 3179, 3178, 3170, -1, 3180, 3171, 3172, -1, + 3180, 3179, 3171, -1, 3173, 3172, 3166, -1, + 3173, 3180, 3172, -1, 3199, 3200, 3201, -1, + 3198, 3201, 3202, -1, 3198, 3202, 3203, -1, + 3198, 3203, 3204, -1, 3197, 3199, 3201, -1, + 3197, 3201, 3198, -1, 3239, 3240, 3197, -1, + 3241, 3197, 3240, -1, 3238, 3239, 3197, -1, + 3242, 3197, 3241, -1, 3237, 3238, 3197, -1, + 3217, 3197, 3242, -1, 3236, 3237, 3197, -1, + 3304, 3197, 3198, -1, 3304, 3234, 3235, -1, + 3304, 3235, 3236, -1, 3304, 3236, 3197, -1, + 3233, 3234, 3304, -1, 3303, 3304, 3198, -1, + 3302, 3303, 3198, -1, 3232, 3233, 3304, -1, + 3231, 3232, 3304, -1, 3295, 3296, 3302, -1, + 3297, 3304, 3302, -1, 3297, 3302, 3296, -1, + 3294, 3295, 3302, -1, 3298, 3231, 3304, -1, + 3298, 3304, 3297, -1, 3293, 3302, 3198, -1, + 3293, 3294, 3302, -1, 3245, 3220, 3221, -1, + 3245, 3221, 3222, -1, 3245, 3222, 3223, -1, + 3245, 3223, 3224, -1, 3273, 3231, 3298, -1, + 3273, 3229, 3230, -1, 3273, 3230, 3231, -1, + 3292, 3293, 3198, -1, 3274, 3229, 3273, -1, + 3274, 3228, 3229, -1, 3190, 3217, 3218, -1, + 3190, 3218, 3219, -1, 3190, 3219, 3220, -1, + 3190, 3245, 3246, -1, 3190, 3220, 3245, -1, + 3205, 3292, 3198, -1, 3291, 3292, 3205, -1, + 3244, 3245, 3224, -1, 3244, 3224, 3225, -1, + 3244, 3225, 3226, -1, 3244, 3226, 3227, -1, + 3275, 3228, 3274, -1, 3275, 3244, 3227, -1, + 3275, 3227, 3228, -1, 3195, 3196, 3190, -1, + 3208, 3205, 3207, -1, 3290, 3291, 3205, -1, + 3194, 3195, 3190, -1, 3276, 3244, 3275, -1, + 3209, 3205, 3208, -1, 3193, 3194, 3190, -1, + 3277, 3244, 3276, -1, 3189, 3191, 3192, -1, + 3189, 3192, 3193, -1, 3189, 3190, 3246, -1, + 3189, 3193, 3190, -1, 3189, 3246, 3243, -1, + 3206, 3209, 3210, -1, 3206, 3210, 3211, -1, + 3206, 3211, 3212, -1, 3206, 3205, 3209, -1, + 3270, 3288, 3289, -1, 3269, 3289, 3290, -1, + 3269, 3290, 3205, -1, 3269, 3270, 3289, -1, + 3271, 3287, 3288, -1, 3271, 3288, 3270, -1, + 3268, 3205, 3206, -1, 3268, 3269, 3205, -1, + 3272, 3287, 3271, -1, 3272, 3286, 3287, -1, + 3267, 3268, 3206, -1, 3215, 3244, 3277, -1, + 3215, 3243, 3244, -1, 3215, 3277, 3278, -1, + 3215, 3278, 3279, -1, 3215, 3279, 3280, -1, + 3247, 3286, 3272, -1, 3266, 3267, 3206, -1, + 3216, 3189, 3243, -1, 3216, 3243, 3215, -1, + 3248, 3285, 3286, -1, 3248, 3286, 3247, -1, + 3265, 3266, 3206, -1, 3249, 3284, 3285, -1, + 3249, 3285, 3248, -1, 3264, 3265, 3206, -1, + 3250, 3283, 3284, -1, 3250, 3284, 3249, -1, + 3214, 3281, 3282, -1, 3214, 3282, 3283, -1, + 3214, 3215, 3280, -1, 3214, 3280, 3281, -1, + 3263, 3264, 3206, -1, 3262, 3263, 3206, -1, + 3261, 3262, 3206, -1, 3260, 3261, 3206, -1, + 3301, 3252, 3253, -1, 3301, 3253, 3254, -1, + 3300, 3301, 3254, -1, 3299, 3283, 3250, -1, + 3299, 3214, 3283, -1, 3299, 3300, 3254, -1, + 3299, 3252, 3301, -1, 3299, 3250, 3251, -1, + 3299, 3251, 3252, -1, 3255, 3299, 3254, -1, + 3256, 3299, 3255, -1, 3182, 3213, 3214, -1, + 3182, 3214, 3299, -1, 3181, 3299, 3256, -1, + 3181, 3256, 3257, -1, 3181, 3257, 3258, -1, + 3181, 3258, 3259, -1, 3181, 3259, 3260, -1, + 3181, 3182, 3299, -1, 3183, 3182, 3181, -1, + 3187, 3188, 3182, -1, 3186, 3187, 3182, -1, + 3185, 3183, 3184, -1, 3185, 3182, 3183, -1, + 3185, 3186, 3182, -1, 3182, 3189, 3216, -1, + 3182, 3216, 3213, -1, 3190, 3197, 3217, -1, + 3206, 3181, 3260, -1, 3311, 3310, 3306, -1, + 3307, 3309, 3308, -1, 3306, 3309, 3307, -1, + 3310, 3309, 3306, -1, 3324, 3323, 3325, -1, + 3325, 3321, 3326, -1, 3326, 3321, 3327, -1, + 3327, 3321, 3328, -1, 3323, 3322, 3325, -1, + 3325, 3322, 3321, -1, 3322, 3342, 3321, -1, + 3342, 3341, 3321, -1, 3341, 3340, 3321, -1, + 3322, 3329, 3342, -1, 3340, 3314, 3321, -1, + 3336, 3335, 3329, -1, 3314, 3316, 3315, -1, + 3335, 3334, 3329, -1, 3314, 3317, 3316, -1, + 3334, 3333, 3329, -1, 3331, 3330, 3332, -1, + 3332, 3330, 3333, -1, 3342, 3330, 3340, -1, + 3329, 3330, 3342, -1, 3333, 3330, 3329, -1, + 3317, 3313, 3318, -1, 3318, 3313, 3319, -1, + 3319, 3313, 3320, -1, 3314, 3313, 3317, -1, + 3340, 3339, 3314, -1, 3314, 3339, 3313, -1, + 3330, 3337, 3340, -1, 3340, 3337, 3339, -1, + 3330, 3306, 3337, -1, 3337, 3305, 3338, -1, + 3338, 3305, 3339, -1, 3306, 3305, 3337, -1, + 3339, 3305, 3313, -1, 3306, 3307, 3305, -1, + 3312, 3311, 3306, -1 ] + ccw TRUE + solid FALSE + convex TRUE + creaseAngle 0 + + } + + } + + }, + + DEF o13 Group { + children + DEF o14 Shape { + appearance + Appearance { + material + Material { + emissiveColor 0.098039217 0.098039217 0.098039217 + + } + + } + geometry + PointSet { + coord + USE o10 + + } + + } + + } ] + + } + + } + + }, + + DEF o15 Group { + children + DEF o16 Transform { + translation 0 0 0 + rotation 0 0 1 0 + scale 1 1 1 + scaleOrientation 0 0 1 0 + center 0 0 0 + children + DEF o17 Group { + children [ + DEF o18 Group { + children + DEF o19 Shape { + appearance + Appearance { + material + Material { + diffuseColor 0.098039217 0.098039217 0.098039217 + shininess 1 + + } + + } + geometry + IndexedLineSet { + coord + DEF o20 Coordinate { + point [ -3.5 -1.83 -0.49999997, + -3.5 -1.83 -1.5, + -3.5 2.4000001 -0.49999997, + -3.5 2.4000001 -1.5, + -3.5 -1.83 -1.5, + -2.2 -1.83 -1.5, + -3.5 2.4000001 -1.5, + -2.2 2.4000001 -1.5, + -2.2 -1.83 -1.5, + -2.2 -1.83 -0.49999997, + -2.2 2.4000001 -1.5, + -2.2 2.4000001 -0.49999997, + -2.2 -1.83 -0.49999997, + -2.4200001 -1.83 -0.49999997, + -2.2 2.4000001 -0.49999997, + -2.4200001 2.4000001 -0.49999997, + -2.4200001 -1.83 0.5, + -2.4200001 -1.83 -0.49999997, + -2.4200001 2.4000001 -0.49999997, + -2.4200001 2.4000001 0.5, + -2.2 -1.83 0.5, + -2.4200001 -1.83 0.5, + -2.4200001 2.4000001 0.5, + -2.2 2.4000001 0.5, + -2.2 -1.83 1.5, + -2.2 -1.83 0.5, + -2.2 2.4000001 0.5, + -2.2 2.4000001 1.5, + -3.5 -1.83 1.5, + -2.2 -1.83 1.5, + -2.2 2.4000001 1.5, + -3.5 2.4000001 1.5, + -3.5 -1.83 0.5, + -3.5 -1.83 1.5, + -3.5 2.4000001 1.5, + -3.5 2.4000001 0.5, + -3.28 -1.83 0.5, + -3.5 -1.83 0.5, + -3.5 2.4000001 0.5, + -3.28 2.4000001 0.5, + -3.28 -1.83 -0.49999997, + -3.28 -1.83 0.5, + -3.28 2.4000001 0.5, + -3.28 2.4000001 -0.49999997, + -3.28 -1.83 -0.49999997, + -3.5 -1.83 -0.49999997, + -3.28 2.4000001 -0.49999997, + -3.5 2.4000001 -0.49999997, + -3.28 -1.83 -0.49999997, + -3.5 -1.83 -0.49999997, + -3.5 -1.83 -1.5, + -2.2 -1.83 -1.5, + -2.2 -1.83 -0.49999997, + -2.4200001 -1.83 -0.49999997, + -2.4200001 -1.83 0.5, + -2.2 -1.83 0.5, + -2.2 -1.83 1.5, + -3.5 -1.83 1.5, + -3.5 -1.83 0.5, + -3.28 -1.83 0.5, + 3.28 -1.83 0.5, + 3.5 -1.83 0.5, + 3.28 2.4000001 0.5, + 3.5 2.4000001 0.5, + 3.5 -1.83 0.5, + 3.5 -1.83 1.5, + 3.5 2.4000001 0.5, + 3.5 2.4000001 1.5, + 3.5 -1.83 1.5, + 2.2 -1.83 1.5, + 3.5 2.4000001 1.5, + 2.2 2.4000001 1.5, + 2.2 -1.83 1.5, + 2.2 -1.83 0.5, + 2.2 2.4000001 1.5, + 2.2 2.4000001 0.5, + 2.2 -1.83 0.5, + 2.4200001 -1.83 0.5, + 2.2 2.4000001 0.5, + 2.4200001 2.4000001 0.5, + 2.4200001 -1.83 0.5, + 2.4200001 -1.83 -0.49999997, + 2.4200001 2.4000001 0.5, + 2.4200001 2.4000001 -0.49999997, + 2.2 -1.83 -0.49999997, + 2.4200001 -1.83 -0.49999997, + 2.4200001 2.4000001 -0.49999997, + 2.2 2.4000001 -0.49999997, + 2.2 -1.83 -1.5, + 2.2 -1.83 -0.49999997, + 2.2 2.4000001 -0.49999997, + 2.2 2.4000001 -1.5, + 3.5 -1.83 -1.5, + 2.2 -1.83 -1.5, + 2.2 2.4000001 -1.5, + 3.5 2.4000001 -1.5, + 3.5 -1.83 -0.49999997, + 3.5 -1.83 -1.5, + 3.5 2.4000001 -1.5, + 3.5 2.4000001 -0.49999997, + 3.28 -1.83 -0.49999997, + 3.5 -1.83 -0.49999997, + 3.5 2.4000001 -0.49999997, + 3.28 2.4000001 -0.49999997, + 3.28 -1.83 -0.49999997, + 3.28 -1.83 0.5, + 3.28 2.4000001 -0.49999997, + 3.28 2.4000001 0.5, + 3.28 -1.83 -0.49999997, + 3.28 -1.83 0.5, + 3.5 -1.83 0.5, + 3.5 -1.83 1.5, + 2.2 -1.83 1.5, + 2.2 -1.83 0.5, + 2.4200001 -1.83 0.5, + 2.4200001 -1.83 -0.49999997, + 2.2 -1.83 -0.49999997, + 2.2 -1.83 -1.5, + 3.5 -1.83 -1.5, + 3.5 -1.83 -0.49999997, + -7.7034726 1.340874 -7.3000002, + -7.8000002 1.2287776 -7.3000002, + -7.8000002 0 -7.3000002, + 7.8000002 0 -7.3000002, + 7.8000002 1.2287776 -7.3000002, + 7.7034726 1.340874 -7.3000002, + -7.7034726 1.340874 -7.3000002, + -7.8000002 1.2287776 -7.3000002, + -6.7914472 2.4000001 -6.7115965, + -6.7914472 2.4000001 5.7430367, + -7.8000002 1.2287776 7.1745305, + 7.8000002 1.2287776 -7.3000002, + 7.7034726 1.340874 -7.3000002, + 7.8000002 1.2287776 7.1745305, + 6.7914472 2.4000001 5.7430367, + 6.7914472 2.4000001 -6.7115965, + -6.7914472 2.4000001 5.7430367, + -6.7914472 2.4000001 -6.7115965, + 6.7914472 2.4000001 -6.7115965, + 6.7914472 2.4000001 5.7430367, + -3.5 2.4000001 -1.5, + -3.5 2.4000001 -0.49999997, + -3.28 2.4000001 -0.49999997, + -3.28 2.4000001 0.5, + -3.5 2.4000001 0.5, + -3.5 2.4000001 1.5, + -2.2 2.4000001 1.5, + -2.2 2.4000001 0.5, + -2.4200001 2.4000001 0.5, + -2.4200001 2.4000001 -0.49999997, + -2.2 2.4000001 -0.49999997, + -2.2 2.4000001 -1.5, + 3.5 2.4000001 0.5, + 3.28 2.4000001 0.5, + 3.28 2.4000001 -0.49999997, + 3.5 2.4000001 -0.49999997, + 3.5 2.4000001 -1.5, + 2.2 2.4000001 -1.5, + 2.2 2.4000001 -0.49999997, + 2.4200001 2.4000001 -0.49999997, + 2.4200001 2.4000001 0.5, + 2.2 2.4000001 0.5, + 2.2 2.4000001 1.5, + 3.5 2.4000001 1.5, + -7.8000002 0 7.3000002, + 7.8000002 0 7.3000002, + -7.8000002 1.1261209 7.3000002, + 7.8000002 1.1261209 7.3000002, + -6.7914472 2.4000001 5.7430367, + 6.7914472 2.4000001 5.7430367, + 7.8000002 1.2287776 7.1745305, + 7.8000002 1.1261209 7.3000002, + -7.8000002 1.1261209 7.3000002, + -7.8000002 1.2287776 7.1745305, + 7.8000002 1.2287776 7.1745305, + 7.8000002 1.2287776 -7.3000002, + 7.8000002 0 -7.3000002, + 7.8000002 0 7.3000002, + 7.8000002 1.1261209 7.3000002, + -7.8000002 0 -7.3000002, + -7.8000002 1.2287776 -7.3000002, + -7.8000002 1.2287776 7.1745305, + -7.8000002 1.1261209 7.3000002, + -7.8000002 0 7.3000002, + -7.7034726 1.340874 -7.3000002, + -6.7914472 2.4000001 -6.7115965, + 7.7034726 1.340874 -7.3000002, + 6.7914472 2.4000001 -6.7115965, + 8.7983456 1.601921 -8.1256695, + 8.8000002 1.6 -8.1000004, + 8.8000002 0 -8.1000004, + 8.6000004 0 -8.3000002, + 8.7949858 0 -8.1445045, + 8.7801933 0 -8.1867771, + 8.7563667 0 -8.2246981, + 8.7246981 0 -8.2563667, + 8.6867771 0 -8.2801933, + 8.6445045 0 -8.2949858, + 8.6000004 1.5995148 -8.3000002, + 8.6385946 1.6003515 -8.2962065, + 8.674758 1.6043636 -8.2854824, + 8.7095356 1.6087451 -8.267334, + 8.7403793 1.6114721 -8.2424068, + 8.764864 1.6116509 -8.2132044, + 8.7837343 1.6091021 -8.1789675, + 8.7929564 1.6058476 -8.1525879, + 8.6000004 1.5995148 -8.3000002, + 8.7983456 1.601921 -8.1256695, + 8.6385946 1.6003515 -8.2962065, + 8.674758 1.6043636 -8.2854824, + 8.7095356 1.6087451 -8.267334, + 8.7403793 1.6114721 -8.2424068, + 8.764864 1.6116509 -8.2132044, + 8.7837343 1.6091021 -8.1789675, + 8.7929564 1.6058476 -8.1525879, + 8.5832262 1.6 -8.3000002, + 6.1661315 3.4000001 -7.3000002, + 7.25 3.4000001 -6.4373674, + 6.5834169 3.4000001 -7.2570577, + 6.8268323 3.4000001 -7.1719761, + 6.9887395 3.4000001 -7.0669961, + 7.1045427 3.4000001 -6.942749, + 7.1869264 3.4000001 -6.7931585, + 7.2342124 3.4000001 -6.6262107, + 8.5101023 1.9099208 -7.9607849, + 8.4428225 1.9107045 -8.0496931, + 8.3674784 1.8785114 -8.1253147, + 8.2420321 2.2106481 -7.727612, + 8.1481276 2.2117417 -7.8517046, + 8.0429668 2.1668088 -7.957252, + 7.9739618 2.5113752 -7.4944391, + 7.8534331 2.512779 -7.6537156, + 7.7184553 2.4551063 -7.7891893, + 7.7058916 2.8121023 -7.2612662, + 7.5587382 2.8138163 -7.4557271, + 7.3939443 2.7434034 -7.6211267, + 7.4378214 3.1128294 -7.0280929, + 7.2640433 3.1148536 -7.2577381, + 7.0694332 3.0317008 -7.453064, + 6.7449217 3.3199983 -7.2850008, + 8.4041367 2.0515912 -7.7827806, + 8.1291742 2.0144489 -8.0635567, + 7.9593616 2.1531527 -7.9855046, + 7.6006131 2.9763854 -6.9958162, + 7.4721799 3.124202 -6.8700299, + 6.8009782 3.0993292 -7.4530716, + 7.0803423 2.795079 -7.6330452, + 7.0396862 3.1333017 -7.3649616, + 6.8915644 3.2724192 -7.2808356, + 8.6000004 0 -8.3000002, + 8.6000004 1.5995148 -8.3000002, + -8.6000004 0 -8.3000002, + -8.6000004 1.5995148 -8.3000002, + -8.5832262 1.6 -8.3000002, + 8.5832262 1.6 -8.3000002, + 8.8000002 0 -8.1000004, + 8.6000004 0 -8.3000002, + 8.7949858 0 -8.1445045, + 8.7801933 0 -8.1867771, + 8.7563667 0 -8.2246981, + 8.7246981 0 -8.2563667, + 8.6867771 0 -8.2801933, + 8.6445045 0 -8.2949858, + 8.8000002 0 8.1000004, + 8.6000004 0 8.3000002, + 8.6445045 0 8.2949858, + 8.6867771 0 8.2801933, + 8.7246981 0 8.2563667, + 8.7563667 0 8.2246981, + 8.7801933 0 8.1867771, + 8.7949858 0 8.1445045, + -8.6000004 0 8.3000002, + -8.8000002 0 8.1000004, + -8.7949858 0 8.1445045, + -8.7801933 0 8.1867771, + -8.7563667 0 8.2246981, + -8.7246981 0 8.2563667, + -8.6867771 0 8.2801933, + -8.6445045 0 8.2949858, + -8.8000002 0 -8.1000004, + -8.6000004 0 -8.3000002, + -8.6445045 0 -8.2949858, + -8.6867771 0 -8.2801933, + -8.7246981 0 -8.2563667, + -8.7563667 0 -8.2246981, + -8.7801933 0 -8.1867771, + -8.7949858 0 -8.1445045, + -7.8000002 0 7.3000002, + 7.8000002 0 7.3000002, + 7.8000002 0 -7.3000002, + -7.8000002 0 -7.3000002, + -8.7983456 1.601921 -8.1256695, + -8.6000004 1.5995148 -8.3000002, + -8.7863503 1.6084033 -8.1726084, + -8.7635269 1.6117202 -8.2151346, + -8.7354536 1.6111728 -8.2470999, + -8.7037106 1.6080543 -8.2709942, + -8.6686134 1.6035892 -8.2878523, + -8.6353207 1.6000938 -8.2968187, + -8.6000004 0 -8.3000002, + -8.8000002 0 -8.1000004, + -8.6445045 0 -8.2949858, + -8.6867771 0 -8.2801933, + -8.7246981 0 -8.2563667, + -8.7563667 0 -8.2246981, + -8.7801933 0 -8.1867771, + -8.7949858 0 -8.1445045, + -8.8000002 1.6 -8.1000004, + -8.7983456 1.601921 -8.1256695, + -8.6000004 1.5995148 -8.3000002, + -8.7863503 1.6084033 -8.1726084, + -8.7635269 1.6117202 -8.2151346, + -8.7354536 1.6111728 -8.2470999, + -8.7037106 1.6080543 -8.2709942, + -8.6686134 1.6035892 -8.2878523, + -8.6353207 1.6000938 -8.2968187, + -7.25 3.4000001 -6.4373674, + -6.1661315 3.4000001 -7.3000002, + -7.221807 3.4000001 -6.6848822, + -7.1577873 3.4000001 -6.8564258, + -7.0659676 3.4000001 -6.9912472, + -6.9433088 3.4000001 -7.1023073, + -6.7904024 3.4000001 -7.1890702, + -6.607986 3.4000001 -7.2511172, + -6.4004459 3.4000001 -7.287765, + -8.5832262 1.6 -8.3000002, + -8.3675203 1.8784739 -8.1253366, + -8.4428606 1.9106653 -8.0497189, + -8.5101366 1.9098817 -7.9608154, + -8.0430021 2.1667776 -7.9572701, + -8.14816 2.211709 -7.8517261, + -8.2420607 2.2106154 -7.7276373, + -7.7184839 2.4550812 -7.7892036, + -7.8534589 2.512753 -7.6537328, + -7.9739852 2.5113492 -7.4944592, + -7.3939652 2.7433848 -7.6211376, + -7.5587573 2.8137968 -7.45574, + -7.7059093 2.8120828 -7.261281, + -7.069447 3.0316885 -7.4530711, + -7.2640562 3.1148405 -7.2577467, + -7.4378333 3.1128166 -7.0281034, + -6.7449288 3.3199921 -7.2850046, + -8.1291885 2.014437 -8.0635633, + -7.9593725 2.1531439 -7.9855099, + -8.4041481 2.0515785 -7.7827916, + -6.8009892 3.0993202 -7.4530764, + -7.6006241 2.9763725 -6.9958272, + -7.4721885 3.1241922 -6.870038, + -7.080348 2.7950745 -7.6330476, + -7.0396981 3.1332908 -7.3649683, + -8.8000002 0 8.1000004, + -8.6000004 0 8.3000002, + -8.7949858 0 8.1445045, + -8.7801933 0 8.1867771, + -8.7563667 0 8.2246981, + -8.7246981 0 8.2563667, + -8.6867771 0 8.2801933, + -8.6445045 0 8.2949858, + -8.6000004 1.6 8.3000002, + -8.6623402 1.6081523 8.2900362, + -8.6280832 1.6016212 8.2980185, + -8.6453876 1.6042694 8.2947817, + -8.7962809 1.6043189 8.1383896, + -8.694438 1.6153039 8.2762985, + -8.724062 1.6190481 8.2568712, + -8.7499294 1.6194391 8.2323694, + -8.7710066 1.6167486 8.2037153, + -8.7865829 1.6114584 8.1720266, + -8.8000002 1.6 8.1000004, + -8.7990685 1.6010822 8.1192846, + -8.6623402 1.6081523 8.2900362, + -7.11936 3.4000001 6.0999999, + -7.25 3.4000001 5.9436684, + -7.1531768 3.4000001 6.0961547, + -7.1801543 3.4000001 6.0861912, + -7.2011733 3.4000001 6.0721207, + -7.2187529 3.4000001 6.0534463, + -7.2326126 3.4000001 6.0304666, + -7.2425303 3.4000001 6.0035491, + -7.248126 3.4000001 5.9749637, + -8.7962809 1.6043189 8.1383896, + -8.694438 1.6153039 8.2762985, + -8.724062 1.6190481 8.2568712, + -8.7499294 1.6194391 8.2323694, + -8.7710066 1.6167486 8.2037153, + -8.7865829 1.6114584 8.1720266, + -8.6000004 0 8.3000002, + -8.6000004 1.6 8.3000002, + 8.6000004 0 8.3000002, + 8.6000004 1.6 8.3000002, + -8.8000002 0 -8.1000004, + -8.8000002 1.6 -8.1000004, + -8.8000002 0 8.1000004, + -8.8000002 1.6 8.1000004, + 8.6000004 0 8.3000002, + 8.8000002 0 8.1000004, + 8.6445045 0 8.2949858, + 8.6867771 0 8.2801933, + 8.7246981 0 8.2563667, + 8.7563667 0 8.2246981, + 8.7801933 0 8.1867771, + 8.7949858 0 8.1445045, + 8.8000002 1.6 8.1000004, + 8.7962809 1.6043189 8.1383896, + 8.7990685 1.6010822 8.1192846, + 8.6623402 1.6081523 8.2900362, + 8.7823524 1.6133404 8.1821499, + 8.7584515 1.6187688 8.2220373, + 8.7294674 1.6193839 8.2524405, + 8.6985102 1.6159924 8.2740564, + 8.6807575 1.6126205 8.2829695, + 8.6000004 1.6 8.3000002, + 8.6350384 1.6025307 8.2969065, + 8.6175871 1.6006339 8.2992249, + 8.7962809 1.6043189 8.1383896, + 7.25 3.4000001 5.9436684, + 7.11936 3.4000001 6.0999999, + 7.2460346 3.4000001 5.9883485, + 7.2342572 3.4000001 6.0268931, + 7.2172666 3.4000001 6.0553756, + 7.1971464 3.4000001 6.0753794, + 7.1754646 3.4000001 6.0884786, + 7.1533504 3.4000001 6.0961127, + 7.1368198 3.4000001 6.0990267, + 8.6623402 1.6081523 8.2900362, + 8.7823524 1.6133404 8.1821499, + 8.7584515 1.6187688 8.2220373, + 8.7294674 1.6193839 8.2524405, + 8.6985102 1.6159924 8.2740564, + 8.6807575 1.6126205 8.2829695, + -8.6000004 1.6 8.3000002, + -8.6623402 1.6081523 8.2900362, + -8.6280832 1.6016212 8.2980185, + -8.6453876 1.6042694 8.2947817, + 8.6000004 1.6 8.3000002, + 8.6623402 1.6081523 8.2900362, + 8.6350384 1.6025307 8.2969065, + 8.6175871 1.6006339 8.2992249, + 7.11936 3.4000001 6.0999999, + -7.11936 3.4000001 6.0999999, + -7.11936 3.4000001 6.0999999, + -7.25 3.4000001 5.9436684, + -7.1531768 3.4000001 6.0961547, + -7.1801543 3.4000001 6.0861912, + -7.2011733 3.4000001 6.0721207, + -7.2187529 3.4000001 6.0534463, + -7.2326126 3.4000001 6.0304666, + -7.2425303 3.4000001 6.0035491, + -7.248126 3.4000001 5.9749637, + 7.11936 3.4000001 6.0999999, + 7.25 3.4000001 5.9436684, + 7.2460346 3.4000001 5.9883485, + 7.2342572 3.4000001 6.0268931, + 7.2172666 3.4000001 6.0553756, + 7.1971464 3.4000001 6.0753794, + 7.1754646 3.4000001 6.0884786, + 7.1533504 3.4000001 6.0961127, + 7.1368198 3.4000001 6.0990267, + 7.25 3.4000001 -6.4373674, + 6.1661315 3.4000001 -7.3000002, + 6.5834169 3.4000001 -7.2570577, + 6.8268323 3.4000001 -7.1719761, + 6.9887395 3.4000001 -7.0669961, + 7.1045427 3.4000001 -6.942749, + 7.1869264 3.4000001 -6.7931585, + 7.2342124 3.4000001 -6.6262107, + -6.1661315 3.4000001 -7.3000002, + -7.25 3.4000001 -6.4373674, + -7.221807 3.4000001 -6.6848822, + -7.1577873 3.4000001 -6.8564258, + -7.0659676 3.4000001 -6.9912472, + -6.9433088 3.4000001 -7.1023073, + -6.7904024 3.4000001 -7.1890702, + -6.607986 3.4000001 -7.2511172, + -6.4004459 3.4000001 -7.287765, + -6.1661315 3.4000001 -7.3000002, + -8.5832262 1.6 -8.3000002, + 6.1661315 3.4000001 -7.3000002, + 8.5832262 1.6 -8.3000002, + 8.8000002 1.6 -8.1000004, + 8.8000002 0 -8.1000004, + 8.8000002 1.6 8.1000004, + 8.8000002 0 8.1000004, + 8.7983456 1.601921 -8.1256695, + 8.8000002 1.6 -8.1000004, + 7.25 3.4000001 -6.4373674, + 7.25 3.4000001 5.9436684, + 8.7962809 1.6043189 8.1383896, + 8.8000002 1.6 8.1000004, + 8.7990685 1.6010822 8.1192846, + -8.8000002 1.6 -8.1000004, + -8.7983456 1.601921 -8.1256695, + -8.8000002 1.6 8.1000004, + -8.7962809 1.6043189 8.1383896, + -8.7990685 1.6010822 8.1192846, + -7.25 3.4000001 5.9436684, + -7.25 3.4000001 -6.4373674, + -3.5 -1.83 -0.49999997, + -3.5 -1.83 -1.5, + -3.5 2.4000001 -0.49999997, + -3.5 2.4000001 -1.5, + -2.2 -1.83 -1.5, + -2.2 2.4000001 -1.5, + -2.2 -1.83 -0.49999997, + -2.2 2.4000001 -0.49999997, + -2.4200001 -1.83 -0.49999997, + -2.4200001 2.4000001 -0.49999997, + -2.4200001 -1.83 0.5, + -2.4200001 2.4000001 0.5, + -2.2 -1.83 0.5, + -2.2 2.4000001 0.5, + -2.2 -1.83 1.5, + -2.2 2.4000001 1.5, + -3.5 -1.83 1.5, + -3.5 2.4000001 1.5, + -3.5 -1.83 0.5, + -3.5 2.4000001 0.5, + -3.28 -1.83 0.5, + -3.28 2.4000001 0.5, + -3.28 -1.83 -0.49999997, + -3.28 2.4000001 -0.49999997, + 3.28 -1.83 0.5, + 3.5 -1.83 0.5, + 3.28 2.4000001 0.5, + 3.5 2.4000001 0.5, + 3.5 -1.83 1.5, + 3.5 2.4000001 1.5, + 2.2 -1.83 1.5, + 2.2 2.4000001 1.5, + 2.2 -1.83 0.5, + 2.2 2.4000001 0.5, + 2.4200001 -1.83 0.5, + 2.4200001 2.4000001 0.5, + 2.4200001 -1.83 -0.49999997, + 2.4200001 2.4000001 -0.49999997, + 2.2 -1.83 -0.49999997, + 2.2 2.4000001 -0.49999997, + 2.2 -1.83 -1.5, + 2.2 2.4000001 -1.5, + 3.5 -1.83 -1.5, + 3.5 2.4000001 -1.5, + 3.5 -1.83 -0.49999997, + 3.5 2.4000001 -0.49999997, + 3.28 -1.83 -0.49999997, + 3.28 2.4000001 -0.49999997, + -7.7034726 1.340874 -7.3000002, + -7.8000002 1.2287776 -7.3000002, + -7.8000002 0 -7.3000002, + 7.8000002 0 -7.3000002, + 7.8000002 1.2287776 -7.3000002, + 7.7034726 1.340874 -7.3000002, + -6.7914472 2.4000001 -6.7115965, + -6.7914472 2.4000001 5.7430367, + -7.8000002 1.2287776 7.1745305, + 7.8000002 1.2287776 7.1745305, + 6.7914472 2.4000001 5.7430367, + 6.7914472 2.4000001 -6.7115965, + -7.8000002 0 7.3000002, + 7.8000002 0 7.3000002, + -7.8000002 1.1261209 7.3000002, + 7.8000002 1.1261209 7.3000002, + 8.7983456 1.601921 -8.1256695, + 8.8000002 1.6 -8.1000004, + 8.8000002 0 -8.1000004, + 8.6000004 0 -8.3000002, + 8.6000004 1.5995148 -8.3000002, + 8.5832262 1.6 -8.3000002, + 6.1661315 3.4000001 -7.3000002, + 7.25 3.4000001 -6.4373674, + -8.6000004 0 -8.3000002, + -8.6000004 1.5995148 -8.3000002, + -8.5832262 1.6 -8.3000002, + 8.8000002 0 8.1000004, + 8.6000004 0 8.3000002, + -8.6000004 0 8.3000002, + -8.8000002 0 8.1000004, + -8.8000002 0 -8.1000004, + -8.7983456 1.601921 -8.1256695, + -8.8000002 1.6 -8.1000004, + -7.25 3.4000001 -6.4373674, + -6.1661315 3.4000001 -7.3000002, + -8.6000004 1.6 8.3000002, + -8.6623402 1.6081523 8.2900362, + -8.7962809 1.6043189 8.1383896, + -8.8000002 1.6 8.1000004, + -7.11936 3.4000001 6.0999999, + -7.25 3.4000001 5.9436684, + 8.6000004 1.6 8.3000002, + 8.8000002 1.6 8.1000004, + 8.7962809 1.6043189 8.1383896, + 8.6623402 1.6081523 8.2900362, + 7.25 3.4000001 5.9436684, + 7.11936 3.4000001 6.0999999 ] + + } + coordIndex [ 0, 1, -1, 0, 2, -1, 3, 2, + -1, 1, 3, -1, 4, 5, -1, 7, + 6, -1, 5, 7, -1, 8, 9, -1, + 11, 10, -1, 9, 11, -1, 12, 13, + -1, 15, 14, -1, 13, 15, -1, 16, + 17, -1, 19, 18, -1, 16, 19, -1, + 20, 21, -1, 23, 22, -1, 20, 23, + -1, 24, 25, -1, 27, 26, -1, 24, + 27, -1, 28, 29, -1, 31, 30, -1, + 28, 31, -1, 32, 33, -1, 35, 34, + -1, 32, 35, -1, 36, 37, -1, 39, + 38, -1, 36, 39, -1, 40, 41, -1, + 43, 42, -1, 40, 43, -1, 44, 45, + -1, 47, 46, -1, 60, 61, -1, 60, + 62, -1, 63, 62, -1, 61, 63, -1, + 64, 65, -1, 67, 66, -1, 65, 67, + -1, 68, 69, -1, 71, 70, -1, 69, + 71, -1, 72, 73, -1, 75, 74, -1, + 73, 75, -1, 76, 77, -1, 79, 78, + -1, 77, 79, -1, 80, 81, -1, 83, + 82, -1, 81, 83, -1, 84, 85, -1, + 87, 86, -1, 84, 87, -1, 88, 89, + -1, 91, 90, -1, 88, 91, -1, 92, + 93, -1, 95, 94, -1, 92, 95, -1, + 96, 97, -1, 99, 98, -1, 96, 99, + -1, 100, 101, -1, 103, 102, -1, 100, + 103, -1, 104, 105, -1, 107, 106, -1, + 120, 121, -1, 122, 121, -1, 123, 122, + -1, 124, 123, -1, 124, 125, -1, 125, + 120, -1, 126, 128, -1, 129, 128, -1, + 129, 130, -1, 127, 130, -1, 133, 131, + -1, 133, 134, -1, 135, 134, -1, 135, + 132, -1, 137, 138, -1, 136, 139, -1, + 164, 165, -1, 166, 164, -1, 166, 167, + -1, 165, 167, -1, 171, 170, -1, 172, + 173, -1, 177, 176, -1, 179, 183, -1, + 188, 189, -1, 189, 190, -1, 190, 192, + 193, 194, 195, 196, 197, 191, -1, 191, + 198, -1, 198, 199, 200, 201, 202, 203, + 204, 205, 188, -1, 206, 215, -1, 215, + 216, -1, 216, 218, 219, 220, 221, 222, + 223, 217, -1, 217, 207, -1, 251, 249, + -1, 252, 251, -1, 253, 252, -1, 254, + 253, -1, 255, 263, -1, 264, 265, 266, + 267, 268, 269, 270, 263, -1, 264, 271, + -1, 272, 273, 274, 275, 276, 277, 278, + 271, -1, 272, 279, -1, 280, 281, 282, + 283, 284, 285, 286, 279, -1, 291, 293, + 294, 295, 296, 297, 298, 292, -1, 300, + 307, -1, 307, 291, -1, 308, 316, -1, + 316, 318, 319, 320, 321, 322, 323, 324, + 317, -1, 317, 325, -1, 351, 358, -1, + 358, 360, 361, 359, -1, 359, 363, 364, + 365, 366, 367, 362, -1, 362, 369, 368, + -1, 368, 350, -1, 370, 371, -1, 371, + 373, 374, 375, 376, 377, 378, 379, 372, + -1, 372, 380, -1, 389, 388, -1, 387, + 389, -1, 391, 393, -1, 395, 402, -1, + 402, 404, 403, -1, 403, 406, 407, 408, + 409, 410, 405, -1, 405, 412, 413, 411, + -1, 414, 415, -1, 415, 417, 418, 419, + 420, 421, 422, 423, 416, -1, 416, 424, + -1, 439, 438, -1, 450, 458, -1, 459, + 466, -1, 467, 441, -1, 481, 479, -1 ] + + } + + } + + }, + + DEF o21 Group { + children + DEF o22 Shape { + appearance + Appearance { + material + Material { + diffuseColor 0.2 0.2 0.2 + + } + + } + geometry + IndexedFaceSet { + coord + USE o20 + coordIndex [ 2, 1, 0, -1, 2, 3, 1, -1, + 6, 5, 4, -1, 6, 7, 5, -1, + 10, 9, 8, -1, 10, 11, 9, -1, + 14, 13, 12, -1, 14, 15, 13, -1, + 18, 16, 17, -1, 18, 19, 16, -1, + 22, 20, 21, -1, 22, 23, 20, -1, + 26, 24, 25, -1, 26, 27, 24, -1, + 30, 28, 29, -1, 30, 31, 28, -1, + 34, 32, 33, -1, 34, 35, 32, -1, + 38, 36, 37, -1, 38, 39, 36, -1, + 42, 40, 41, -1, 42, 43, 40, -1, + 46, 45, 44, -1, 46, 47, 45, -1, + 48, 49, 50, -1, 53, 50, 51, -1, + 53, 48, 50, -1, 52, 53, 51, -1, + 57, 58, 59, -1, 54, 59, 48, -1, + 54, 48, 53, -1, 56, 54, 55, -1, + 56, 57, 59, -1, 56, 59, 54, -1, + 62, 61, 60, -1, 62, 63, 61, -1, + 66, 65, 64, -1, 66, 67, 65, -1, + 70, 69, 68, -1, 70, 71, 69, -1, + 74, 73, 72, -1, 74, 75, 73, -1, + 78, 77, 76, -1, 78, 79, 77, -1, + 82, 81, 80, -1, 82, 83, 81, -1, + 86, 84, 85, -1, 86, 87, 84, -1, + 90, 88, 89, -1, 90, 91, 88, -1, + 94, 92, 93, -1, 94, 95, 92, -1, + 98, 96, 97, -1, 98, 99, 96, -1, + 102, 100, 101, -1, 102, 103, 100, -1, + 106, 105, 104, -1, 106, 107, 105, -1, + 115, 116, 117, -1, 108, 117, 118, -1, + 108, 115, 117, -1, 119, 108, 118, -1, + 112, 113, 114, -1, 109, 114, 115, -1, + 109, 115, 108, -1, 111, 109, 110, -1, + 111, 112, 114, -1, 111, 114, 109, -1, + 124, 125, 123, -1, 125, 122, 123, -1, + 122, 120, 121, -1, 125, 120, 122, -1, + 127, 129, 130, -1, 128, 127, 126, -1, + 128, 129, 127, -1, 135, 132, 131, -1, + 134, 131, 133, -1, 134, 135, 131, -1, + 140, 141, 137, -1, 137, 151, 140, -1, + 142, 143, 141, -1, 141, 143, 144, -1, + 149, 147, 148, -1, 150, 147, 149, -1, + 145, 136, 144, -1, 141, 136, 137, -1, + 144, 136, 141, -1, 145, 146, 136, -1, + 138, 157, 137, -1, 137, 157, 151, -1, + 151, 158, 150, -1, 157, 158, 151, -1, + 138, 156, 157, -1, 150, 161, 147, -1, + 158, 161, 150, -1, 159, 160, 158, -1, + 158, 160, 161, -1, 138, 155, 156, -1, + 147, 162, 146, -1, 161, 162, 147, -1, + 146, 162, 136, -1, 154, 152, 153, -1, + 155, 152, 154, -1, 163, 139, 162, -1, + 152, 139, 163, -1, 138, 139, 155, -1, + 162, 139, 136, -1, 155, 139, 152, -1, + 164, 167, 165, -1, 166, 167, 164, -1, + 169, 170, 171, -1, 168, 171, 172, -1, + 168, 172, 173, -1, 168, 169, 171, -1, + 178, 174, 177, -1, 174, 176, 177, -1, + 174, 175, 176, -1, 180, 181, 179, -1, + 179, 181, 183, -1, 181, 182, 183, -1, + 187, 185, 184, -1, 187, 184, 186, -1, + 188, 189, 190, -1, 188, 190, 192, -1, + 205, 192, 193, -1, 205, 188, 192, -1, + 204, 205, 193, -1, 203, 193, 194, -1, + 203, 204, 193, -1, 202, 194, 195, -1, + 202, 203, 194, -1, 201, 195, 196, -1, + 201, 202, 195, -1, 200, 196, 197, -1, + 200, 201, 196, -1, 199, 197, 191, -1, + 199, 200, 197, -1, 198, 199, 191, -1, + 231, 230, 227, -1, 231, 227, 228, -1, + 232, 231, 228, -1, 232, 228, 229, -1, + 234, 230, 231, -1, 234, 233, 230, -1, + 235, 231, 232, -1, 235, 234, 231, -1, + 237, 220, 221, -1, 237, 221, 236, -1, + 238, 234, 235, -1, 238, 237, 234, -1, + 239, 218, 219, -1, 240, 227, 230, -1, + 240, 224, 225, -1, 240, 225, 228, -1, + 240, 207, 224, -1, 240, 228, 227, -1, + 241, 225, 226, -1, 241, 228, 225, -1, + 241, 226, 215, -1, 241, 229, 228, -1, + 242, 241, 215, -1, 242, 232, 229, -1, + 242, 229, 241, -1, 243, 217, 207, -1, + 243, 240, 230, -1, 243, 237, 236, -1, + 243, 207, 240, -1, 243, 230, 233, -1, + 243, 233, 234, -1, 243, 234, 237, -1, + 244, 221, 222, -1, 244, 222, 223, -1, + 244, 223, 217, -1, 244, 217, 243, -1, + 244, 236, 221, -1, 244, 243, 236, -1, + 245, 216, 218, -1, 245, 218, 239, -1, + 246, 215, 216, -1, 246, 216, 245, -1, + 246, 235, 232, -1, 246, 238, 235, -1, + 246, 242, 215, -1, 246, 232, 242, -1, + 246, 245, 238, -1, 247, 220, 237, -1, + 247, 237, 238, -1, 247, 238, 245, -1, + 248, 247, 245, -1, 248, 219, 220, -1, + 248, 245, 239, -1, 248, 239, 219, -1, + 248, 220, 247, -1, 224, 213, 212, -1, + 224, 214, 213, -1, 224, 207, 214, -1, + 225, 211, 210, -1, 225, 212, 211, -1, + 225, 224, 212, -1, 226, 208, 206, -1, + 226, 209, 208, -1, 226, 210, 209, -1, + 226, 206, 215, -1, 226, 225, 210, -1, + 254, 250, 249, -1, 253, 249, 251, -1, + 253, 254, 249, -1, 252, 253, 251, -1, + 276, 278, 277, -1, 274, 273, 275, -1, + 276, 271, 278, -1, 275, 272, 276, -1, + 273, 272, 275, -1, 276, 272, 271, -1, + 272, 287, 271, -1, 286, 285, 279, -1, + 285, 284, 279, -1, 279, 290, 272, -1, + 272, 290, 287, -1, 283, 282, 284, -1, + 284, 282, 279, -1, 281, 280, 282, -1, + 279, 280, 290, -1, 282, 280, 279, -1, + 287, 264, 271, -1, 264, 266, 265, -1, + 264, 267, 266, -1, 287, 288, 264, -1, + 267, 270, 268, -1, 268, 270, 269, -1, + 288, 263, 264, -1, 264, 263, 267, -1, + 267, 263, 270, -1, 290, 256, 289, -1, + 280, 256, 290, -1, 289, 255, 288, -1, + 256, 255, 289, -1, 288, 255, 263, -1, + 255, 261, 257, -1, 262, 261, 256, -1, + 256, 261, 255, -1, 257, 259, 258, -1, + 261, 259, 257, -1, 261, 260, 259, -1, + 298, 292, 299, -1, 298, 299, 301, -1, + 297, 301, 302, -1, 297, 298, 301, -1, + 296, 302, 303, -1, 296, 297, 302, -1, + 295, 303, 304, -1, 295, 296, 303, -1, + 294, 304, 305, -1, 294, 295, 304, -1, + 293, 305, 306, -1, 293, 294, 305, -1, + 291, 306, 300, -1, 291, 293, 306, -1, + 307, 291, 300, -1, 333, 329, 330, -1, + 334, 333, 330, -1, 334, 330, 331, -1, + 336, 332, 333, -1, 336, 335, 332, -1, + 337, 333, 334, -1, 337, 336, 333, -1, + 339, 335, 336, -1, 339, 338, 335, -1, + 340, 319, 320, -1, 340, 320, 339, -1, + 341, 321, 322, -1, 341, 322, 323, -1, + 341, 323, 324, -1, 342, 325, 326, -1, + 342, 327, 330, -1, 342, 330, 329, -1, + 342, 326, 327, -1, 343, 342, 329, -1, + 343, 329, 332, -1, 343, 325, 342, -1, + 344, 328, 308, -1, 344, 330, 327, -1, + 344, 327, 328, -1, 344, 331, 330, -1, + 344, 334, 331, -1, 345, 324, 317, -1, + 345, 341, 324, -1, 346, 308, 316, -1, + 346, 344, 308, -1, 346, 336, 337, -1, + 346, 337, 334, -1, 346, 339, 336, -1, + 346, 340, 339, -1, 346, 334, 344, -1, + 347, 316, 318, -1, 347, 318, 319, -1, + 347, 346, 316, -1, 347, 319, 340, -1, + 347, 340, 346, -1, 348, 317, 325, -1, + 348, 325, 343, -1, 348, 345, 317, -1, + 348, 332, 335, -1, 348, 335, 338, -1, + 348, 343, 332, -1, 348, 338, 345, -1, + 349, 320, 321, -1, 349, 341, 345, -1, + 349, 339, 320, -1, 349, 321, 341, -1, + 349, 338, 339, -1, 349, 345, 338, -1, + 326, 314, 313, -1, 326, 315, 314, -1, + 326, 309, 315, -1, 326, 325, 309, -1, + 327, 312, 311, -1, 327, 313, 312, -1, + 327, 326, 313, -1, 328, 310, 308, -1, + 328, 311, 310, -1, 328, 327, 311, -1, + 333, 332, 329, -1, 369, 350, 352, -1, + 369, 368, 350, -1, 362, 369, 352, -1, + 367, 352, 353, -1, 367, 362, 352, -1, + 366, 353, 354, -1, 366, 367, 353, -1, + 365, 354, 355, -1, 365, 366, 354, -1, + 364, 365, 355, -1, 363, 355, 356, -1, + 363, 364, 355, -1, 359, 356, 357, -1, + 359, 363, 356, -1, 361, 359, 357, -1, + 360, 357, 351, -1, 360, 361, 357, -1, + 358, 360, 351, -1, 370, 371, 373, -1, + 381, 373, 374, -1, 381, 370, 373, -1, + 382, 374, 375, -1, 382, 381, 374, -1, + 383, 375, 376, -1, 383, 376, 377, -1, + 383, 382, 375, -1, 384, 377, 378, -1, + 384, 383, 377, -1, 385, 378, 379, -1, + 385, 384, 378, -1, 380, 379, 372, -1, + 380, 385, 379, -1, 388, 387, 386, -1, + 389, 387, 388, -1, 393, 391, 390, -1, + 393, 390, 392, -1, 413, 394, 396, -1, + 413, 411, 394, -1, 412, 413, 396, -1, + 405, 396, 397, -1, 405, 412, 396, -1, + 410, 405, 397, -1, 409, 397, 398, -1, + 409, 410, 397, -1, 408, 398, 399, -1, + 408, 409, 398, -1, 407, 399, 400, -1, + 407, 408, 399, -1, 406, 400, 401, -1, + 406, 407, 400, -1, 403, 406, 401, -1, + 404, 401, 395, -1, 404, 403, 401, -1, + 402, 404, 395, -1, 414, 415, 417, -1, + 425, 417, 418, -1, 425, 414, 417, -1, + 426, 418, 419, -1, 426, 425, 418, -1, + 427, 419, 420, -1, 427, 420, 421, -1, + 427, 426, 419, -1, 428, 421, 422, -1, + 428, 427, 421, -1, 429, 422, 423, -1, + 429, 428, 422, -1, 424, 423, 416, -1, + 424, 429, 423, -1, 435, 434, 436, -1, + 436, 434, 437, -1, 435, 438, 434, -1, + 430, 433, 432, -1, 430, 431, 433, -1, + 434, 439, 430, -1, 438, 439, 434, -1, + 430, 439, 431, -1, 469, 471, 470, -1, + 468, 472, 471, -1, 468, 471, 469, -1, + 467, 473, 472, -1, 467, 474, 473, -1, + 467, 472, 468, -1, 466, 474, 467, -1, + 441, 466, 467, -1, 443, 445, 444, -1, + 442, 446, 445, -1, 442, 445, 443, -1, + 440, 447, 446, -1, 440, 448, 447, -1, + 440, 441, 448, -1, 440, 446, 442, -1, + 464, 462, 461, -1, 464, 463, 462, -1, + 465, 464, 461, -1, 458, 460, 459, -1, + 458, 461, 460, -1, 458, 465, 461, -1, + 450, 459, 466, -1, 450, 458, 459, -1, + 450, 466, 441, -1, 450, 441, 440, -1, + 449, 450, 440, -1, 451, 450, 449, -1, + 452, 457, 456, -1, 452, 449, 457, -1, + 452, 451, 449, -1, 453, 455, 454, -1, + 453, 456, 455, -1, 453, 452, 456, -1, + 475, 478, 476, -1, 477, 478, 475, -1, + 479, 481, 482, -1, 479, 482, 480, -1, + 483, 485, 484, -1, 488, 487, 489, -1, + 484, 486, 488, -1, 485, 486, 484, -1, + 488, 486, 487, -1, 493, 492, 494, -1, + 493, 495, 492, -1, 495, 490, 492, -1, + 490, 496, 491, -1, 495, 496, 490, -1 ] + ccw TRUE + solid FALSE + convex TRUE + creaseAngle 0 + + } + + } + + }, + + DEF o23 Group { + children + DEF o24 Shape { + appearance + Appearance { + material + Material { + emissiveColor 0.098039217 0.098039217 0.098039217 + + } + + } + geometry + PointSet { + coord + USE o20 + + } + + } + + } ] + + } + + } + + } ] + + } + + } + + } + +} diff --git a/pocket-reform-keyboard-kailh-ortho/3d-models/S4B-PH-SM4-TB.stp b/pocket-reform-keyboard-kailh-ortho/3d-models/S4B-PH-SM4-TB.stp new file mode 100644 index 0000000..d92fc8a --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/3d-models/S4B-PH-SM4-TB.stp @@ -0,0 +1,5824 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ '/Users/kubota/GitHub/con-jst/PH/SMD-SIDE/S4B-PH-SM4-TB.stp', + +/* time_stamp */ '2017-03-17T14:28:10+09:00', +/* author */ (''), +/* organization */ (''), +/* preprocessor_version */ 'ST-DEVELOPER v16.5', +/* originating_system */ 'Autodesk Translation Framework v5.2.0.2920', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#64,#62, +#67,#66,#61,#63,#65),#5689); +#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#5695,#12); +#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#68,#69,#70,#71,#72,#73,#74), +#5688); +#13=CYLINDRICAL_SURFACE('',#3684,0.750000000000001); +#14=CYLINDRICAL_SURFACE('',#3685,0.250000000000001); +#15=CYLINDRICAL_SURFACE('',#3688,0.25); +#16=CYLINDRICAL_SURFACE('',#3689,0.750000000000001); +#17=CYLINDRICAL_SURFACE('',#3714,0.750000000000001); +#18=CYLINDRICAL_SURFACE('',#3715,0.250000000000001); +#19=CYLINDRICAL_SURFACE('',#3718,0.25); +#20=CYLINDRICAL_SURFACE('',#3719,0.750000000000001); +#21=CYLINDRICAL_SURFACE('',#3744,0.750000000000001); +#22=CYLINDRICAL_SURFACE('',#3745,0.250000000000001); +#23=CYLINDRICAL_SURFACE('',#3748,0.25); +#24=CYLINDRICAL_SURFACE('',#3749,0.750000000000001); +#25=CYLINDRICAL_SURFACE('',#3774,0.750000000000001); +#26=CYLINDRICAL_SURFACE('',#3775,0.250000000000001); +#27=CYLINDRICAL_SURFACE('',#3778,0.25); +#28=CYLINDRICAL_SURFACE('',#3779,0.750000000000001); +#29=CIRCLE('',#3667,0.250000000000001); +#30=CIRCLE('',#3668,0.749999999999999); +#31=CIRCLE('',#3669,0.249999999999999); +#32=CIRCLE('',#3670,0.750000000000002); +#33=CIRCLE('',#3678,0.750000000000002); +#34=CIRCLE('',#3679,0.249999999999999); +#35=CIRCLE('',#3680,0.750000000000001); +#36=CIRCLE('',#3681,0.249999999999999); +#37=CIRCLE('',#3697,0.250000000000001); +#38=CIRCLE('',#3698,0.749999999999999); +#39=CIRCLE('',#3699,0.249999999999999); +#40=CIRCLE('',#3700,0.750000000000002); +#41=CIRCLE('',#3708,0.750000000000002); +#42=CIRCLE('',#3709,0.249999999999999); +#43=CIRCLE('',#3710,0.750000000000001); +#44=CIRCLE('',#3711,0.249999999999999); +#45=CIRCLE('',#3727,0.250000000000001); +#46=CIRCLE('',#3728,0.749999999999999); +#47=CIRCLE('',#3729,0.249999999999999); +#48=CIRCLE('',#3730,0.750000000000002); +#49=CIRCLE('',#3738,0.750000000000002); +#50=CIRCLE('',#3739,0.249999999999999); +#51=CIRCLE('',#3740,0.750000000000001); +#52=CIRCLE('',#3741,0.249999999999999); +#53=CIRCLE('',#3757,0.250000000000001); +#54=CIRCLE('',#3758,0.749999999999999); +#55=CIRCLE('',#3759,0.249999999999999); +#56=CIRCLE('',#3760,0.750000000000002); +#57=CIRCLE('',#3768,0.750000000000002); +#58=CIRCLE('',#3769,0.249999999999999); +#59=CIRCLE('',#3770,0.750000000000001); +#60=CIRCLE('',#3771,0.249999999999999); +#61=STYLED_ITEM('',(#5705),#68); +#62=STYLED_ITEM('',(#5706),#69); +#63=STYLED_ITEM('',(#5706),#70); +#64=STYLED_ITEM('',(#5706),#71); +#65=STYLED_ITEM('',(#5706),#72); +#66=STYLED_ITEM('',(#5706),#73); +#67=STYLED_ITEM('',(#5706),#74); +#68=MANIFOLD_SOLID_BREP('Body1',#3555); +#69=MANIFOLD_SOLID_BREP('pad',#3556); +#70=MANIFOLD_SOLID_BREP('pad2',#3557); +#71=MANIFOLD_SOLID_BREP('pin',#3558); +#72=MANIFOLD_SOLID_BREP('pin (13)',#3559); +#73=MANIFOLD_SOLID_BREP('pin (1)',#3560); +#74=MANIFOLD_SOLID_BREP('pin (2)',#3561); +#75=FACE_BOUND('',#315,.T.); +#76=FACE_BOUND('',#317,.T.); +#77=FACE_BOUND('',#318,.T.); +#78=FACE_BOUND('',#319,.T.); +#79=FACE_BOUND('',#320,.T.); +#80=FACE_BOUND('',#334,.T.); +#81=FACE_BOUND('',#343,.T.); +#82=FACE_BOUND('',#352,.T.); +#83=FACE_OUTER_BOUND('',#269,.T.); +#84=FACE_OUTER_BOUND('',#270,.T.); +#85=FACE_OUTER_BOUND('',#271,.T.); +#86=FACE_OUTER_BOUND('',#272,.T.); +#87=FACE_OUTER_BOUND('',#273,.T.); +#88=FACE_OUTER_BOUND('',#274,.T.); +#89=FACE_OUTER_BOUND('',#275,.T.); +#90=FACE_OUTER_BOUND('',#276,.T.); +#91=FACE_OUTER_BOUND('',#277,.T.); +#92=FACE_OUTER_BOUND('',#278,.T.); +#93=FACE_OUTER_BOUND('',#279,.T.); +#94=FACE_OUTER_BOUND('',#280,.T.); +#95=FACE_OUTER_BOUND('',#281,.T.); +#96=FACE_OUTER_BOUND('',#282,.T.); +#97=FACE_OUTER_BOUND('',#283,.T.); +#98=FACE_OUTER_BOUND('',#284,.T.); +#99=FACE_OUTER_BOUND('',#285,.T.); +#100=FACE_OUTER_BOUND('',#286,.T.); +#101=FACE_OUTER_BOUND('',#287,.T.); +#102=FACE_OUTER_BOUND('',#288,.T.); +#103=FACE_OUTER_BOUND('',#289,.T.); +#104=FACE_OUTER_BOUND('',#290,.T.); +#105=FACE_OUTER_BOUND('',#291,.T.); +#106=FACE_OUTER_BOUND('',#292,.T.); +#107=FACE_OUTER_BOUND('',#293,.T.); +#108=FACE_OUTER_BOUND('',#294,.T.); +#109=FACE_OUTER_BOUND('',#295,.T.); +#110=FACE_OUTER_BOUND('',#296,.T.); +#111=FACE_OUTER_BOUND('',#297,.T.); +#112=FACE_OUTER_BOUND('',#298,.T.); +#113=FACE_OUTER_BOUND('',#299,.T.); +#114=FACE_OUTER_BOUND('',#300,.T.); +#115=FACE_OUTER_BOUND('',#301,.T.); +#116=FACE_OUTER_BOUND('',#302,.T.); +#117=FACE_OUTER_BOUND('',#303,.T.); +#118=FACE_OUTER_BOUND('',#304,.T.); +#119=FACE_OUTER_BOUND('',#305,.T.); +#120=FACE_OUTER_BOUND('',#306,.T.); +#121=FACE_OUTER_BOUND('',#307,.T.); +#122=FACE_OUTER_BOUND('',#308,.T.); +#123=FACE_OUTER_BOUND('',#309,.T.); +#124=FACE_OUTER_BOUND('',#310,.T.); +#125=FACE_OUTER_BOUND('',#311,.T.); +#126=FACE_OUTER_BOUND('',#312,.T.); +#127=FACE_OUTER_BOUND('',#313,.T.); +#128=FACE_OUTER_BOUND('',#314,.T.); +#129=FACE_OUTER_BOUND('',#316,.T.); +#130=FACE_OUTER_BOUND('',#321,.T.); +#131=FACE_OUTER_BOUND('',#322,.T.); +#132=FACE_OUTER_BOUND('',#323,.T.); +#133=FACE_OUTER_BOUND('',#324,.T.); +#134=FACE_OUTER_BOUND('',#325,.T.); +#135=FACE_OUTER_BOUND('',#326,.T.); +#136=FACE_OUTER_BOUND('',#327,.T.); +#137=FACE_OUTER_BOUND('',#328,.T.); +#138=FACE_OUTER_BOUND('',#329,.T.); +#139=FACE_OUTER_BOUND('',#330,.T.); +#140=FACE_OUTER_BOUND('',#331,.T.); +#141=FACE_OUTER_BOUND('',#332,.T.); +#142=FACE_OUTER_BOUND('',#333,.T.); +#143=FACE_OUTER_BOUND('',#335,.T.); +#144=FACE_OUTER_BOUND('',#336,.T.); +#145=FACE_OUTER_BOUND('',#337,.T.); +#146=FACE_OUTER_BOUND('',#338,.T.); +#147=FACE_OUTER_BOUND('',#339,.T.); +#148=FACE_OUTER_BOUND('',#340,.T.); +#149=FACE_OUTER_BOUND('',#341,.T.); +#150=FACE_OUTER_BOUND('',#342,.T.); +#151=FACE_OUTER_BOUND('',#344,.T.); +#152=FACE_OUTER_BOUND('',#345,.T.); +#153=FACE_OUTER_BOUND('',#346,.T.); +#154=FACE_OUTER_BOUND('',#347,.T.); +#155=FACE_OUTER_BOUND('',#348,.T.); +#156=FACE_OUTER_BOUND('',#349,.T.); +#157=FACE_OUTER_BOUND('',#350,.T.); +#158=FACE_OUTER_BOUND('',#351,.T.); +#159=FACE_OUTER_BOUND('',#353,.T.); +#160=FACE_OUTER_BOUND('',#354,.T.); +#161=FACE_OUTER_BOUND('',#355,.T.); +#162=FACE_OUTER_BOUND('',#356,.T.); +#163=FACE_OUTER_BOUND('',#357,.T.); +#164=FACE_OUTER_BOUND('',#358,.T.); +#165=FACE_OUTER_BOUND('',#359,.T.); +#166=FACE_OUTER_BOUND('',#360,.T.); +#167=FACE_OUTER_BOUND('',#361,.T.); +#168=FACE_OUTER_BOUND('',#362,.T.); +#169=FACE_OUTER_BOUND('',#363,.T.); +#170=FACE_OUTER_BOUND('',#364,.T.); +#171=FACE_OUTER_BOUND('',#365,.T.); +#172=FACE_OUTER_BOUND('',#366,.T.); +#173=FACE_OUTER_BOUND('',#367,.T.); +#174=FACE_OUTER_BOUND('',#368,.T.); +#175=FACE_OUTER_BOUND('',#369,.T.); +#176=FACE_OUTER_BOUND('',#370,.T.); +#177=FACE_OUTER_BOUND('',#371,.T.); +#178=FACE_OUTER_BOUND('',#372,.T.); +#179=FACE_OUTER_BOUND('',#373,.T.); +#180=FACE_OUTER_BOUND('',#374,.T.); +#181=FACE_OUTER_BOUND('',#375,.T.); +#182=FACE_OUTER_BOUND('',#376,.T.); +#183=FACE_OUTER_BOUND('',#377,.T.); +#184=FACE_OUTER_BOUND('',#378,.T.); +#185=FACE_OUTER_BOUND('',#379,.T.); +#186=FACE_OUTER_BOUND('',#380,.T.); +#187=FACE_OUTER_BOUND('',#381,.T.); +#188=FACE_OUTER_BOUND('',#382,.T.); +#189=FACE_OUTER_BOUND('',#383,.T.); +#190=FACE_OUTER_BOUND('',#384,.T.); +#191=FACE_OUTER_BOUND('',#385,.T.); +#192=FACE_OUTER_BOUND('',#386,.T.); +#193=FACE_OUTER_BOUND('',#387,.T.); +#194=FACE_OUTER_BOUND('',#388,.T.); +#195=FACE_OUTER_BOUND('',#389,.T.); +#196=FACE_OUTER_BOUND('',#390,.T.); +#197=FACE_OUTER_BOUND('',#391,.T.); +#198=FACE_OUTER_BOUND('',#392,.T.); +#199=FACE_OUTER_BOUND('',#393,.T.); +#200=FACE_OUTER_BOUND('',#394,.T.); +#201=FACE_OUTER_BOUND('',#395,.T.); +#202=FACE_OUTER_BOUND('',#396,.T.); +#203=FACE_OUTER_BOUND('',#397,.T.); +#204=FACE_OUTER_BOUND('',#398,.T.); +#205=FACE_OUTER_BOUND('',#399,.T.); +#206=FACE_OUTER_BOUND('',#400,.T.); +#207=FACE_OUTER_BOUND('',#401,.T.); +#208=FACE_OUTER_BOUND('',#402,.T.); +#209=FACE_OUTER_BOUND('',#403,.T.); +#210=FACE_OUTER_BOUND('',#404,.T.); +#211=FACE_OUTER_BOUND('',#405,.T.); +#212=FACE_OUTER_BOUND('',#406,.T.); +#213=FACE_OUTER_BOUND('',#407,.T.); +#214=FACE_OUTER_BOUND('',#408,.T.); +#215=FACE_OUTER_BOUND('',#409,.T.); +#216=FACE_OUTER_BOUND('',#410,.T.); +#217=FACE_OUTER_BOUND('',#411,.T.); +#218=FACE_OUTER_BOUND('',#412,.T.); +#219=FACE_OUTER_BOUND('',#413,.T.); +#220=FACE_OUTER_BOUND('',#414,.T.); +#221=FACE_OUTER_BOUND('',#415,.T.); +#222=FACE_OUTER_BOUND('',#416,.T.); +#223=FACE_OUTER_BOUND('',#417,.T.); +#224=FACE_OUTER_BOUND('',#418,.T.); +#225=FACE_OUTER_BOUND('',#419,.T.); +#226=FACE_OUTER_BOUND('',#420,.T.); +#227=FACE_OUTER_BOUND('',#421,.T.); +#228=FACE_OUTER_BOUND('',#422,.T.); +#229=FACE_OUTER_BOUND('',#423,.T.); +#230=FACE_OUTER_BOUND('',#424,.T.); +#231=FACE_OUTER_BOUND('',#425,.T.); +#232=FACE_OUTER_BOUND('',#426,.T.); +#233=FACE_OUTER_BOUND('',#427,.T.); +#234=FACE_OUTER_BOUND('',#428,.T.); +#235=FACE_OUTER_BOUND('',#429,.T.); +#236=FACE_OUTER_BOUND('',#430,.T.); +#237=FACE_OUTER_BOUND('',#431,.T.); +#238=FACE_OUTER_BOUND('',#432,.T.); +#239=FACE_OUTER_BOUND('',#433,.T.); +#240=FACE_OUTER_BOUND('',#434,.T.); +#241=FACE_OUTER_BOUND('',#435,.T.); +#242=FACE_OUTER_BOUND('',#436,.T.); +#243=FACE_OUTER_BOUND('',#437,.T.); +#244=FACE_OUTER_BOUND('',#438,.T.); +#245=FACE_OUTER_BOUND('',#439,.T.); +#246=FACE_OUTER_BOUND('',#440,.T.); +#247=FACE_OUTER_BOUND('',#441,.T.); +#248=FACE_OUTER_BOUND('',#442,.T.); +#249=FACE_OUTER_BOUND('',#443,.T.); +#250=FACE_OUTER_BOUND('',#444,.T.); +#251=FACE_OUTER_BOUND('',#445,.T.); +#252=FACE_OUTER_BOUND('',#446,.T.); +#253=FACE_OUTER_BOUND('',#447,.T.); +#254=FACE_OUTER_BOUND('',#448,.T.); +#255=FACE_OUTER_BOUND('',#449,.T.); +#256=FACE_OUTER_BOUND('',#450,.T.); +#257=FACE_OUTER_BOUND('',#451,.T.); +#258=FACE_OUTER_BOUND('',#452,.T.); +#259=FACE_OUTER_BOUND('',#453,.T.); +#260=FACE_OUTER_BOUND('',#454,.T.); +#261=FACE_OUTER_BOUND('',#455,.T.); +#262=FACE_OUTER_BOUND('',#456,.T.); +#263=FACE_OUTER_BOUND('',#457,.T.); +#264=FACE_OUTER_BOUND('',#458,.T.); +#265=FACE_OUTER_BOUND('',#459,.T.); +#266=FACE_OUTER_BOUND('',#460,.T.); +#267=FACE_OUTER_BOUND('',#461,.T.); +#268=FACE_OUTER_BOUND('',#462,.T.); +#269=EDGE_LOOP('',(#2207,#2208,#2209,#2210)); +#270=EDGE_LOOP('',(#2211,#2212,#2213,#2214)); +#271=EDGE_LOOP('',(#2215,#2216,#2217,#2218)); +#272=EDGE_LOOP('',(#2219,#2220,#2221,#2222,#2223,#2224)); +#273=EDGE_LOOP('',(#2225,#2226,#2227,#2228,#2229,#2230)); +#274=EDGE_LOOP('',(#2231,#2232,#2233,#2234)); +#275=EDGE_LOOP('',(#2235,#2236,#2237,#2238,#2239,#2240,#2241,#2242)); +#276=EDGE_LOOP('',(#2243,#2244,#2245,#2246,#2247,#2248,#2249,#2250)); +#277=EDGE_LOOP('',(#2251,#2252,#2253,#2254,#2255,#2256)); +#278=EDGE_LOOP('',(#2257,#2258,#2259,#2260,#2261,#2262)); +#279=EDGE_LOOP('',(#2263,#2264,#2265,#2266,#2267,#2268,#2269,#2270,#2271, +#2272,#2273,#2274)); +#280=EDGE_LOOP('',(#2275,#2276,#2277,#2278,#2279,#2280)); +#281=EDGE_LOOP('',(#2281,#2282,#2283,#2284)); +#282=EDGE_LOOP('',(#2285,#2286,#2287,#2288)); +#283=EDGE_LOOP('',(#2289,#2290,#2291,#2292,#2293,#2294,#2295,#2296,#2297, +#2298)); +#284=EDGE_LOOP('',(#2299,#2300,#2301,#2302)); +#285=EDGE_LOOP('',(#2303,#2304,#2305,#2306)); +#286=EDGE_LOOP('',(#2307,#2308,#2309,#2310,#2311,#2312)); +#287=EDGE_LOOP('',(#2313,#2314,#2315,#2316,#2317,#2318)); +#288=EDGE_LOOP('',(#2319,#2320,#2321,#2322,#2323,#2324,#2325,#2326,#2327, +#2328,#2329,#2330)); +#289=EDGE_LOOP('',(#2331,#2332,#2333,#2334)); +#290=EDGE_LOOP('',(#2335,#2336,#2337,#2338,#2339,#2340)); +#291=EDGE_LOOP('',(#2341,#2342,#2343,#2344,#2345,#2346)); +#292=EDGE_LOOP('',(#2347,#2348,#2349,#2350,#2351,#2352)); +#293=EDGE_LOOP('',(#2353,#2354,#2355,#2356)); +#294=EDGE_LOOP('',(#2357,#2358,#2359,#2360,#2361,#2362,#2363,#2364,#2365, +#2366,#2367,#2368,#2369,#2370,#2371,#2372,#2373,#2374,#2375,#2376,#2377, +#2378,#2379,#2380,#2381,#2382,#2383,#2384)); +#295=EDGE_LOOP('',(#2385,#2386,#2387,#2388,#2389,#2390,#2391,#2392,#2393, +#2394,#2395,#2396,#2397,#2398,#2399,#2400)); +#296=EDGE_LOOP('',(#2401,#2402,#2403,#2404)); +#297=EDGE_LOOP('',(#2405,#2406,#2407,#2408)); +#298=EDGE_LOOP('',(#2409,#2410,#2411,#2412)); +#299=EDGE_LOOP('',(#2413,#2414,#2415,#2416,#2417,#2418,#2419,#2420,#2421, +#2422)); +#300=EDGE_LOOP('',(#2423,#2424,#2425,#2426)); +#301=EDGE_LOOP('',(#2427,#2428,#2429,#2430)); +#302=EDGE_LOOP('',(#2431,#2432,#2433,#2434,#2435,#2436)); +#303=EDGE_LOOP('',(#2437,#2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445, +#2446)); +#304=EDGE_LOOP('',(#2447,#2448,#2449,#2450,#2451,#2452)); +#305=EDGE_LOOP('',(#2453,#2454,#2455,#2456)); +#306=EDGE_LOOP('',(#2457,#2458,#2459,#2460)); +#307=EDGE_LOOP('',(#2461,#2462,#2463,#2464,#2465,#2466)); +#308=EDGE_LOOP('',(#2467,#2468,#2469,#2470,#2471,#2472)); +#309=EDGE_LOOP('',(#2473,#2474,#2475,#2476,#2477,#2478)); +#310=EDGE_LOOP('',(#2479,#2480,#2481,#2482,#2483,#2484)); +#311=EDGE_LOOP('',(#2485,#2486,#2487,#2488)); +#312=EDGE_LOOP('',(#2489,#2490,#2491,#2492)); +#313=EDGE_LOOP('',(#2493,#2494,#2495,#2496)); +#314=EDGE_LOOP('',(#2497,#2498,#2499,#2500,#2501,#2502)); +#315=EDGE_LOOP('',(#2503,#2504,#2505,#2506)); +#316=EDGE_LOOP('',(#2507,#2508,#2509,#2510,#2511,#2512,#2513,#2514,#2515, +#2516,#2517,#2518)); +#317=EDGE_LOOP('',(#2519,#2520,#2521,#2522)); +#318=EDGE_LOOP('',(#2523,#2524,#2525,#2526)); +#319=EDGE_LOOP('',(#2527,#2528,#2529,#2530)); +#320=EDGE_LOOP('',(#2531,#2532,#2533,#2534)); +#321=EDGE_LOOP('',(#2535,#2536,#2537,#2538)); +#322=EDGE_LOOP('',(#2539,#2540,#2541,#2542)); +#323=EDGE_LOOP('',(#2543,#2544,#2545,#2546)); +#324=EDGE_LOOP('',(#2547,#2548,#2549,#2550,#2551,#2552,#2553,#2554,#2555, +#2556)); +#325=EDGE_LOOP('',(#2557,#2558,#2559,#2560)); +#326=EDGE_LOOP('',(#2561,#2562,#2563,#2564)); +#327=EDGE_LOOP('',(#2565,#2566,#2567,#2568)); +#328=EDGE_LOOP('',(#2569,#2570,#2571,#2572)); +#329=EDGE_LOOP('',(#2573,#2574,#2575,#2576)); +#330=EDGE_LOOP('',(#2577,#2578,#2579,#2580)); +#331=EDGE_LOOP('',(#2581,#2582,#2583,#2584)); +#332=EDGE_LOOP('',(#2585,#2586,#2587,#2588)); +#333=EDGE_LOOP('',(#2589,#2590,#2591,#2592)); +#334=EDGE_LOOP('',(#2593,#2594,#2595,#2596)); +#335=EDGE_LOOP('',(#2597,#2598,#2599,#2600)); +#336=EDGE_LOOP('',(#2601,#2602,#2603,#2604)); +#337=EDGE_LOOP('',(#2605,#2606,#2607,#2608)); +#338=EDGE_LOOP('',(#2609,#2610,#2611,#2612)); +#339=EDGE_LOOP('',(#2613,#2614,#2615,#2616)); +#340=EDGE_LOOP('',(#2617,#2618,#2619,#2620)); +#341=EDGE_LOOP('',(#2621,#2622,#2623,#2624)); +#342=EDGE_LOOP('',(#2625,#2626,#2627,#2628)); +#343=EDGE_LOOP('',(#2629,#2630,#2631,#2632)); +#344=EDGE_LOOP('',(#2633,#2634,#2635,#2636)); +#345=EDGE_LOOP('',(#2637,#2638,#2639,#2640)); +#346=EDGE_LOOP('',(#2641,#2642,#2643,#2644)); +#347=EDGE_LOOP('',(#2645,#2646,#2647,#2648)); +#348=EDGE_LOOP('',(#2649,#2650,#2651,#2652)); +#349=EDGE_LOOP('',(#2653,#2654,#2655,#2656)); +#350=EDGE_LOOP('',(#2657,#2658,#2659,#2660)); +#351=EDGE_LOOP('',(#2661,#2662,#2663,#2664,#2665,#2666)); +#352=EDGE_LOOP('',(#2667,#2668,#2669,#2670)); +#353=EDGE_LOOP('',(#2671,#2672,#2673,#2674)); +#354=EDGE_LOOP('',(#2675,#2676,#2677,#2678,#2679,#2680,#2681,#2682,#2683, +#2684,#2685,#2686,#2687,#2688,#2689,#2690,#2691,#2692,#2693,#2694)); +#355=EDGE_LOOP('',(#2695,#2696,#2697,#2698)); +#356=EDGE_LOOP('',(#2699,#2700,#2701,#2702)); +#357=EDGE_LOOP('',(#2703,#2704,#2705,#2706)); +#358=EDGE_LOOP('',(#2707,#2708,#2709,#2710)); +#359=EDGE_LOOP('',(#2711,#2712,#2713,#2714)); +#360=EDGE_LOOP('',(#2715,#2716,#2717,#2718)); +#361=EDGE_LOOP('',(#2719,#2720,#2721,#2722)); +#362=EDGE_LOOP('',(#2723,#2724,#2725,#2726,#2727,#2728,#2729,#2730,#2731, +#2732,#2733,#2734)); +#363=EDGE_LOOP('',(#2735,#2736,#2737,#2738)); +#364=EDGE_LOOP('',(#2739,#2740,#2741,#2742)); +#365=EDGE_LOOP('',(#2743,#2744,#2745,#2746)); +#366=EDGE_LOOP('',(#2747,#2748,#2749,#2750)); +#367=EDGE_LOOP('',(#2751,#2752,#2753,#2754)); +#368=EDGE_LOOP('',(#2755,#2756,#2757,#2758)); +#369=EDGE_LOOP('',(#2759,#2760,#2761,#2762)); +#370=EDGE_LOOP('',(#2763,#2764,#2765,#2766)); +#371=EDGE_LOOP('',(#2767,#2768,#2769,#2770)); +#372=EDGE_LOOP('',(#2771,#2772,#2773,#2774)); +#373=EDGE_LOOP('',(#2775,#2776,#2777,#2778)); +#374=EDGE_LOOP('',(#2779,#2780,#2781,#2782)); +#375=EDGE_LOOP('',(#2783,#2784,#2785,#2786)); +#376=EDGE_LOOP('',(#2787,#2788,#2789,#2790)); +#377=EDGE_LOOP('',(#2791,#2792,#2793,#2794)); +#378=EDGE_LOOP('',(#2795,#2796,#2797,#2798)); +#379=EDGE_LOOP('',(#2799,#2800,#2801,#2802)); +#380=EDGE_LOOP('',(#2803,#2804,#2805,#2806,#2807,#2808,#2809,#2810,#2811, +#2812,#2813,#2814)); +#381=EDGE_LOOP('',(#2815,#2816,#2817,#2818)); +#382=EDGE_LOOP('',(#2819,#2820,#2821,#2822)); +#383=EDGE_LOOP('',(#2823,#2824,#2825,#2826)); +#384=EDGE_LOOP('',(#2827,#2828,#2829,#2830)); +#385=EDGE_LOOP('',(#2831,#2832,#2833,#2834)); +#386=EDGE_LOOP('',(#2835,#2836,#2837,#2838)); +#387=EDGE_LOOP('',(#2839,#2840,#2841,#2842,#2843,#2844,#2845,#2846,#2847, +#2848,#2849,#2850)); +#388=EDGE_LOOP('',(#2851,#2852,#2853,#2854)); +#389=EDGE_LOOP('',(#2855,#2856,#2857,#2858)); +#390=EDGE_LOOP('',(#2859,#2860,#2861,#2862)); +#391=EDGE_LOOP('',(#2863,#2864,#2865,#2866)); +#392=EDGE_LOOP('',(#2867,#2868,#2869,#2870)); +#393=EDGE_LOOP('',(#2871,#2872,#2873,#2874)); +#394=EDGE_LOOP('',(#2875,#2876,#2877,#2878)); +#395=EDGE_LOOP('',(#2879,#2880,#2881,#2882)); +#396=EDGE_LOOP('',(#2883,#2884,#2885,#2886)); +#397=EDGE_LOOP('',(#2887,#2888,#2889,#2890)); +#398=EDGE_LOOP('',(#2891,#2892,#2893,#2894)); +#399=EDGE_LOOP('',(#2895,#2896,#2897,#2898)); +#400=EDGE_LOOP('',(#2899,#2900,#2901,#2902)); +#401=EDGE_LOOP('',(#2903,#2904,#2905,#2906)); +#402=EDGE_LOOP('',(#2907,#2908,#2909,#2910,#2911,#2912,#2913,#2914,#2915, +#2916,#2917,#2918)); +#403=EDGE_LOOP('',(#2919,#2920,#2921,#2922)); +#404=EDGE_LOOP('',(#2923,#2924,#2925,#2926)); +#405=EDGE_LOOP('',(#2927,#2928,#2929,#2930)); +#406=EDGE_LOOP('',(#2931,#2932,#2933,#2934)); +#407=EDGE_LOOP('',(#2935,#2936,#2937,#2938)); +#408=EDGE_LOOP('',(#2939,#2940,#2941,#2942)); +#409=EDGE_LOOP('',(#2943,#2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951, +#2952,#2953,#2954)); +#410=EDGE_LOOP('',(#2955,#2956,#2957,#2958)); +#411=EDGE_LOOP('',(#2959,#2960,#2961,#2962)); +#412=EDGE_LOOP('',(#2963,#2964,#2965,#2966)); +#413=EDGE_LOOP('',(#2967,#2968,#2969,#2970)); +#414=EDGE_LOOP('',(#2971,#2972,#2973,#2974)); +#415=EDGE_LOOP('',(#2975,#2976,#2977,#2978)); +#416=EDGE_LOOP('',(#2979,#2980,#2981,#2982)); +#417=EDGE_LOOP('',(#2983,#2984,#2985,#2986)); +#418=EDGE_LOOP('',(#2987,#2988,#2989,#2990)); +#419=EDGE_LOOP('',(#2991,#2992,#2993,#2994)); +#420=EDGE_LOOP('',(#2995,#2996,#2997,#2998)); +#421=EDGE_LOOP('',(#2999,#3000,#3001,#3002)); +#422=EDGE_LOOP('',(#3003,#3004,#3005,#3006)); +#423=EDGE_LOOP('',(#3007,#3008,#3009,#3010)); +#424=EDGE_LOOP('',(#3011,#3012,#3013,#3014,#3015,#3016,#3017,#3018,#3019, +#3020,#3021,#3022)); +#425=EDGE_LOOP('',(#3023,#3024,#3025,#3026)); +#426=EDGE_LOOP('',(#3027,#3028,#3029,#3030)); +#427=EDGE_LOOP('',(#3031,#3032,#3033,#3034)); +#428=EDGE_LOOP('',(#3035,#3036,#3037,#3038)); +#429=EDGE_LOOP('',(#3039,#3040,#3041,#3042)); +#430=EDGE_LOOP('',(#3043,#3044,#3045,#3046)); +#431=EDGE_LOOP('',(#3047,#3048,#3049,#3050,#3051,#3052,#3053,#3054,#3055, +#3056,#3057,#3058)); +#432=EDGE_LOOP('',(#3059,#3060,#3061,#3062)); +#433=EDGE_LOOP('',(#3063,#3064,#3065,#3066)); +#434=EDGE_LOOP('',(#3067,#3068,#3069,#3070)); +#435=EDGE_LOOP('',(#3071,#3072,#3073,#3074)); +#436=EDGE_LOOP('',(#3075,#3076,#3077,#3078)); +#437=EDGE_LOOP('',(#3079,#3080,#3081,#3082)); +#438=EDGE_LOOP('',(#3083,#3084,#3085,#3086)); +#439=EDGE_LOOP('',(#3087,#3088,#3089,#3090)); +#440=EDGE_LOOP('',(#3091,#3092,#3093,#3094)); +#441=EDGE_LOOP('',(#3095,#3096,#3097,#3098)); +#442=EDGE_LOOP('',(#3099,#3100,#3101,#3102)); +#443=EDGE_LOOP('',(#3103,#3104,#3105,#3106)); +#444=EDGE_LOOP('',(#3107,#3108,#3109,#3110)); +#445=EDGE_LOOP('',(#3111,#3112,#3113,#3114)); +#446=EDGE_LOOP('',(#3115,#3116,#3117,#3118,#3119,#3120,#3121,#3122,#3123, +#3124,#3125,#3126)); +#447=EDGE_LOOP('',(#3127,#3128,#3129,#3130)); +#448=EDGE_LOOP('',(#3131,#3132,#3133,#3134)); +#449=EDGE_LOOP('',(#3135,#3136,#3137,#3138)); +#450=EDGE_LOOP('',(#3139,#3140,#3141,#3142)); +#451=EDGE_LOOP('',(#3143,#3144,#3145,#3146)); +#452=EDGE_LOOP('',(#3147,#3148,#3149,#3150)); +#453=EDGE_LOOP('',(#3151,#3152,#3153,#3154,#3155,#3156,#3157,#3158,#3159, +#3160,#3161,#3162)); +#454=EDGE_LOOP('',(#3163,#3164,#3165,#3166)); +#455=EDGE_LOOP('',(#3167,#3168,#3169,#3170)); +#456=EDGE_LOOP('',(#3171,#3172,#3173,#3174)); +#457=EDGE_LOOP('',(#3175,#3176,#3177,#3178)); +#458=EDGE_LOOP('',(#3179,#3180,#3181,#3182)); +#459=EDGE_LOOP('',(#3183,#3184,#3185,#3186)); +#460=EDGE_LOOP('',(#3187,#3188,#3189,#3190)); +#461=EDGE_LOOP('',(#3191,#3192,#3193,#3194)); +#462=EDGE_LOOP('',(#3195,#3196,#3197,#3198)); +#463=LINE('',#4687,#927); +#464=LINE('',#4689,#928); +#465=LINE('',#4691,#929); +#466=LINE('',#4692,#930); +#467=LINE('',#4695,#931); +#468=LINE('',#4697,#932); +#469=LINE('',#4698,#933); +#470=LINE('',#4702,#934); +#471=LINE('',#4704,#935); +#472=LINE('',#4706,#936); +#473=LINE('',#4707,#937); +#474=LINE('',#4710,#938); +#475=LINE('',#4712,#939); +#476=LINE('',#4714,#940); +#477=LINE('',#4716,#941); +#478=LINE('',#4717,#942); +#479=LINE('',#4721,#943); +#480=LINE('',#4723,#944); +#481=LINE('',#4725,#945); +#482=LINE('',#4727,#946); +#483=LINE('',#4729,#947); +#484=LINE('',#4730,#948); +#485=LINE('',#4732,#949); +#486=LINE('',#4733,#950); +#487=LINE('',#4736,#951); +#488=LINE('',#4738,#952); +#489=LINE('',#4740,#953); +#490=LINE('',#4742,#954); +#491=LINE('',#4743,#955); +#492=LINE('',#4746,#956); +#493=LINE('',#4748,#957); +#494=LINE('',#4750,#958); +#495=LINE('',#4752,#959); +#496=LINE('',#4753,#960); +#497=LINE('',#4756,#961); +#498=LINE('',#4758,#962); +#499=LINE('',#4760,#963); +#500=LINE('',#4761,#964); +#501=LINE('',#4764,#965); +#502=LINE('',#4766,#966); +#503=LINE('',#4768,#967); +#504=LINE('',#4769,#968); +#505=LINE('',#4771,#969); +#506=LINE('',#4773,#970); +#507=LINE('',#4775,#971); +#508=LINE('',#4777,#972); +#509=LINE('',#4779,#973); +#510=LINE('',#4781,#974); +#511=LINE('',#4783,#975); +#512=LINE('',#4784,#976); +#513=LINE('',#4788,#977); +#514=LINE('',#4790,#978); +#515=LINE('',#4792,#979); +#516=LINE('',#4794,#980); +#517=LINE('',#4796,#981); +#518=LINE('',#4797,#982); +#519=LINE('',#4801,#983); +#520=LINE('',#4802,#984); +#521=LINE('',#4803,#985); +#522=LINE('',#4806,#986); +#523=LINE('',#4807,#987); +#524=LINE('',#4809,#988); +#525=LINE('',#4811,#989); +#526=LINE('',#4813,#990); +#527=LINE('',#4815,#991); +#528=LINE('',#4817,#992); +#529=LINE('',#4819,#993); +#530=LINE('',#4820,#994); +#531=LINE('',#4823,#995); +#532=LINE('',#4824,#996); +#533=LINE('',#4827,#997); +#534=LINE('',#4828,#998); +#535=LINE('',#4830,#999); +#536=LINE('',#4831,#1000); +#537=LINE('',#4835,#1001); +#538=LINE('',#4837,#1002); +#539=LINE('',#4839,#1003); +#540=LINE('',#4840,#1004); +#541=LINE('',#4844,#1005); +#542=LINE('',#4846,#1006); +#543=LINE('',#4848,#1007); +#544=LINE('',#4849,#1008); +#545=LINE('',#4852,#1009); +#546=LINE('',#4854,#1010); +#547=LINE('',#4856,#1011); +#548=LINE('',#4858,#1012); +#549=LINE('',#4859,#1013); +#550=LINE('',#4862,#1014); +#551=LINE('',#4864,#1015); +#552=LINE('',#4866,#1016); +#553=LINE('',#4868,#1017); +#554=LINE('',#4869,#1018); +#555=LINE('',#4871,#1019); +#556=LINE('',#4873,#1020); +#557=LINE('',#4875,#1021); +#558=LINE('',#4876,#1022); +#559=LINE('',#4879,#1023); +#560=LINE('',#4881,#1024); +#561=LINE('',#4882,#1025); +#562=LINE('',#4884,#1026); +#563=LINE('',#4886,#1027); +#564=LINE('',#4887,#1028); +#565=LINE('',#4889,#1029); +#566=LINE('',#4891,#1030); +#567=LINE('',#4893,#1031); +#568=LINE('',#4895,#1032); +#569=LINE('',#4897,#1033); +#570=LINE('',#4899,#1034); +#571=LINE('',#4901,#1035); +#572=LINE('',#4903,#1036); +#573=LINE('',#4905,#1037); +#574=LINE('',#4907,#1038); +#575=LINE('',#4909,#1039); +#576=LINE('',#4911,#1040); +#577=LINE('',#4913,#1041); +#578=LINE('',#4915,#1042); +#579=LINE('',#4917,#1043); +#580=LINE('',#4919,#1044); +#581=LINE('',#4921,#1045); +#582=LINE('',#4922,#1046); +#583=LINE('',#4925,#1047); +#584=LINE('',#4927,#1048); +#585=LINE('',#4929,#1049); +#586=LINE('',#4931,#1050); +#587=LINE('',#4932,#1051); +#588=LINE('',#4934,#1052); +#589=LINE('',#4935,#1053); +#590=LINE('',#4936,#1054); +#591=LINE('',#4939,#1055); +#592=LINE('',#4941,#1056); +#593=LINE('',#4942,#1057); +#594=LINE('',#4944,#1058); +#595=LINE('',#4946,#1059); +#596=LINE('',#4949,#1060); +#597=LINE('',#4951,#1061); +#598=LINE('',#4952,#1062); +#599=LINE('',#4956,#1063); +#600=LINE('',#4958,#1064); +#601=LINE('',#4960,#1065); +#602=LINE('',#4961,#1066); +#603=LINE('',#4965,#1067); +#604=LINE('',#4966,#1068); +#605=LINE('',#4967,#1069); +#606=LINE('',#4971,#1070); +#607=LINE('',#4973,#1071); +#608=LINE('',#4974,#1072); +#609=LINE('',#4975,#1073); +#610=LINE('',#4977,#1074); +#611=LINE('',#4979,#1075); +#612=LINE('',#4981,#1076); +#613=LINE('',#4983,#1077); +#614=LINE('',#4985,#1078); +#615=LINE('',#4987,#1079); +#616=LINE('',#4988,#1080); +#617=LINE('',#4990,#1081); +#618=LINE('',#4993,#1082); +#619=LINE('',#4994,#1083); +#620=LINE('',#4997,#1084); +#621=LINE('',#4998,#1085); +#622=LINE('',#5000,#1086); +#623=LINE('',#5004,#1087); +#624=LINE('',#5006,#1088); +#625=LINE('',#5008,#1089); +#626=LINE('',#5010,#1090); +#627=LINE('',#5012,#1091); +#628=LINE('',#5013,#1092); +#629=LINE('',#5015,#1093); +#630=LINE('',#5016,#1094); +#631=LINE('',#5017,#1095); +#632=LINE('',#5019,#1096); +#633=LINE('',#5020,#1097); +#634=LINE('',#5021,#1098); +#635=LINE('',#5024,#1099); +#636=LINE('',#5028,#1100); +#637=LINE('',#5030,#1101); +#638=LINE('',#5031,#1102); +#639=LINE('',#5034,#1103); +#640=LINE('',#5036,#1104); +#641=LINE('',#5038,#1105); +#642=LINE('',#5039,#1106); +#643=LINE('',#5041,#1107); +#644=LINE('',#5044,#1108); +#645=LINE('',#5046,#1109); +#646=LINE('',#5048,#1110); +#647=LINE('',#5049,#1111); +#648=LINE('',#5052,#1112); +#649=LINE('',#5054,#1113); +#650=LINE('',#5056,#1114); +#651=LINE('',#5057,#1115); +#652=LINE('',#5060,#1116); +#653=LINE('',#5062,#1117); +#654=LINE('',#5064,#1118); +#655=LINE('',#5065,#1119); +#656=LINE('',#5068,#1120); +#657=LINE('',#5070,#1121); +#658=LINE('',#5072,#1122); +#659=LINE('',#5073,#1123); +#660=LINE('',#5077,#1124); +#661=LINE('',#5079,#1125); +#662=LINE('',#5081,#1126); +#663=LINE('',#5082,#1127); +#664=LINE('',#5084,#1128); +#665=LINE('',#5085,#1129); +#666=LINE('',#5087,#1130); +#667=LINE('',#5089,#1131); +#668=LINE('',#5091,#1132); +#669=LINE('',#5093,#1133); +#670=LINE('',#5094,#1134); +#671=LINE('',#5097,#1135); +#672=LINE('',#5098,#1136); +#673=LINE('',#5100,#1137); +#674=LINE('',#5103,#1138); +#675=LINE('',#5104,#1139); +#676=LINE('',#5106,#1140); +#677=LINE('',#5107,#1141); +#678=LINE('',#5109,#1142); +#679=LINE('',#5111,#1143); +#680=LINE('',#5116,#1144); +#681=LINE('',#5117,#1145); +#682=LINE('',#5118,#1146); +#683=LINE('',#5121,#1147); +#684=LINE('',#5122,#1148); +#685=LINE('',#5125,#1149); +#686=LINE('',#5127,#1150); +#687=LINE('',#5129,#1151); +#688=LINE('',#5130,#1152); +#689=LINE('',#5132,#1153); +#690=LINE('',#5135,#1154); +#691=LINE('',#5136,#1155); +#692=LINE('',#5138,#1156); +#693=LINE('',#5139,#1157); +#694=LINE('',#5141,#1158); +#695=LINE('',#5143,#1159); +#696=LINE('',#5148,#1160); +#697=LINE('',#5149,#1161); +#698=LINE('',#5150,#1162); +#699=LINE('',#5153,#1163); +#700=LINE('',#5154,#1164); +#701=LINE('',#5157,#1165); +#702=LINE('',#5159,#1166); +#703=LINE('',#5161,#1167); +#704=LINE('',#5162,#1168); +#705=LINE('',#5164,#1169); +#706=LINE('',#5167,#1170); +#707=LINE('',#5168,#1171); +#708=LINE('',#5170,#1172); +#709=LINE('',#5171,#1173); +#710=LINE('',#5173,#1174); +#711=LINE('',#5175,#1175); +#712=LINE('',#5179,#1176); +#713=LINE('',#5180,#1177); +#714=LINE('',#5183,#1178); +#715=LINE('',#5184,#1179); +#716=LINE('',#5187,#1180); +#717=LINE('',#5189,#1181); +#718=LINE('',#5191,#1182); +#719=LINE('',#5192,#1183); +#720=LINE('',#5194,#1184); +#721=LINE('',#5196,#1185); +#722=LINE('',#5197,#1186); +#723=LINE('',#5199,#1187); +#724=LINE('',#5200,#1188); +#725=LINE('',#5202,#1189); +#726=LINE('',#5204,#1190); +#727=LINE('',#5213,#1191); +#728=LINE('',#5215,#1192); +#729=LINE('',#5217,#1193); +#730=LINE('',#5218,#1194); +#731=LINE('',#5221,#1195); +#732=LINE('',#5223,#1196); +#733=LINE('',#5224,#1197); +#734=LINE('',#5227,#1198); +#735=LINE('',#5229,#1199); +#736=LINE('',#5230,#1200); +#737=LINE('',#5232,#1201); +#738=LINE('',#5233,#1202); +#739=LINE('',#5239,#1203); +#740=LINE('',#5241,#1204); +#741=LINE('',#5243,#1205); +#742=LINE('',#5244,#1206); +#743=LINE('',#5247,#1207); +#744=LINE('',#5249,#1208); +#745=LINE('',#5250,#1209); +#746=LINE('',#5253,#1210); +#747=LINE('',#5255,#1211); +#748=LINE('',#5256,#1212); +#749=LINE('',#5258,#1213); +#750=LINE('',#5259,#1214); +#751=LINE('',#5265,#1215); +#752=LINE('',#5267,#1216); +#753=LINE('',#5269,#1217); +#754=LINE('',#5270,#1218); +#755=LINE('',#5273,#1219); +#756=LINE('',#5275,#1220); +#757=LINE('',#5276,#1221); +#758=LINE('',#5279,#1222); +#759=LINE('',#5281,#1223); +#760=LINE('',#5282,#1224); +#761=LINE('',#5284,#1225); +#762=LINE('',#5285,#1226); +#763=LINE('',#5288,#1227); +#764=LINE('',#5290,#1228); +#765=LINE('',#5291,#1229); +#766=LINE('',#5294,#1230); +#767=LINE('',#5298,#1231); +#768=LINE('',#5302,#1232); +#769=LINE('',#5304,#1233); +#770=LINE('',#5306,#1234); +#771=LINE('',#5310,#1235); +#772=LINE('',#5316,#1236); +#773=LINE('',#5318,#1237); +#774=LINE('',#5320,#1238); +#775=LINE('',#5321,#1239); +#776=LINE('',#5323,#1240); +#777=LINE('',#5325,#1241); +#778=LINE('',#5326,#1242); +#779=LINE('',#5329,#1243); +#780=LINE('',#5330,#1244); +#781=LINE('',#5331,#1245); +#782=LINE('',#5333,#1246); +#783=LINE('',#5336,#1247); +#784=LINE('',#5337,#1248); +#785=LINE('',#5342,#1249); +#786=LINE('',#5345,#1250); +#787=LINE('',#5349,#1251); +#788=LINE('',#5352,#1252); +#789=LINE('',#5355,#1253); +#790=LINE('',#5357,#1254); +#791=LINE('',#5359,#1255); +#792=LINE('',#5361,#1256); +#793=LINE('',#5363,#1257); +#794=LINE('',#5366,#1258); +#795=LINE('',#5371,#1259); +#796=LINE('',#5373,#1260); +#797=LINE('',#5375,#1261); +#798=LINE('',#5376,#1262); +#799=LINE('',#5379,#1263); +#800=LINE('',#5381,#1264); +#801=LINE('',#5382,#1265); +#802=LINE('',#5385,#1266); +#803=LINE('',#5387,#1267); +#804=LINE('',#5388,#1268); +#805=LINE('',#5390,#1269); +#806=LINE('',#5391,#1270); +#807=LINE('',#5394,#1271); +#808=LINE('',#5396,#1272); +#809=LINE('',#5397,#1273); +#810=LINE('',#5400,#1274); +#811=LINE('',#5404,#1275); +#812=LINE('',#5408,#1276); +#813=LINE('',#5410,#1277); +#814=LINE('',#5412,#1278); +#815=LINE('',#5416,#1279); +#816=LINE('',#5422,#1280); +#817=LINE('',#5424,#1281); +#818=LINE('',#5426,#1282); +#819=LINE('',#5427,#1283); +#820=LINE('',#5429,#1284); +#821=LINE('',#5431,#1285); +#822=LINE('',#5432,#1286); +#823=LINE('',#5435,#1287); +#824=LINE('',#5436,#1288); +#825=LINE('',#5437,#1289); +#826=LINE('',#5439,#1290); +#827=LINE('',#5442,#1291); +#828=LINE('',#5443,#1292); +#829=LINE('',#5448,#1293); +#830=LINE('',#5451,#1294); +#831=LINE('',#5455,#1295); +#832=LINE('',#5458,#1296); +#833=LINE('',#5461,#1297); +#834=LINE('',#5463,#1298); +#835=LINE('',#5465,#1299); +#836=LINE('',#5467,#1300); +#837=LINE('',#5469,#1301); +#838=LINE('',#5472,#1302); +#839=LINE('',#5477,#1303); +#840=LINE('',#5479,#1304); +#841=LINE('',#5481,#1305); +#842=LINE('',#5482,#1306); +#843=LINE('',#5485,#1307); +#844=LINE('',#5487,#1308); +#845=LINE('',#5488,#1309); +#846=LINE('',#5491,#1310); +#847=LINE('',#5493,#1311); +#848=LINE('',#5494,#1312); +#849=LINE('',#5496,#1313); +#850=LINE('',#5497,#1314); +#851=LINE('',#5500,#1315); +#852=LINE('',#5502,#1316); +#853=LINE('',#5503,#1317); +#854=LINE('',#5506,#1318); +#855=LINE('',#5510,#1319); +#856=LINE('',#5514,#1320); +#857=LINE('',#5516,#1321); +#858=LINE('',#5518,#1322); +#859=LINE('',#5522,#1323); +#860=LINE('',#5528,#1324); +#861=LINE('',#5530,#1325); +#862=LINE('',#5532,#1326); +#863=LINE('',#5533,#1327); +#864=LINE('',#5535,#1328); +#865=LINE('',#5537,#1329); +#866=LINE('',#5538,#1330); +#867=LINE('',#5541,#1331); +#868=LINE('',#5542,#1332); +#869=LINE('',#5543,#1333); +#870=LINE('',#5545,#1334); +#871=LINE('',#5548,#1335); +#872=LINE('',#5549,#1336); +#873=LINE('',#5554,#1337); +#874=LINE('',#5557,#1338); +#875=LINE('',#5561,#1339); +#876=LINE('',#5564,#1340); +#877=LINE('',#5567,#1341); +#878=LINE('',#5569,#1342); +#879=LINE('',#5571,#1343); +#880=LINE('',#5573,#1344); +#881=LINE('',#5575,#1345); +#882=LINE('',#5578,#1346); +#883=LINE('',#5583,#1347); +#884=LINE('',#5585,#1348); +#885=LINE('',#5587,#1349); +#886=LINE('',#5588,#1350); +#887=LINE('',#5591,#1351); +#888=LINE('',#5593,#1352); +#889=LINE('',#5594,#1353); +#890=LINE('',#5597,#1354); +#891=LINE('',#5599,#1355); +#892=LINE('',#5600,#1356); +#893=LINE('',#5602,#1357); +#894=LINE('',#5603,#1358); +#895=LINE('',#5606,#1359); +#896=LINE('',#5608,#1360); +#897=LINE('',#5609,#1361); +#898=LINE('',#5612,#1362); +#899=LINE('',#5616,#1363); +#900=LINE('',#5620,#1364); +#901=LINE('',#5622,#1365); +#902=LINE('',#5624,#1366); +#903=LINE('',#5628,#1367); +#904=LINE('',#5634,#1368); +#905=LINE('',#5636,#1369); +#906=LINE('',#5638,#1370); +#907=LINE('',#5639,#1371); +#908=LINE('',#5641,#1372); +#909=LINE('',#5643,#1373); +#910=LINE('',#5644,#1374); +#911=LINE('',#5647,#1375); +#912=LINE('',#5648,#1376); +#913=LINE('',#5649,#1377); +#914=LINE('',#5651,#1378); +#915=LINE('',#5654,#1379); +#916=LINE('',#5655,#1380); +#917=LINE('',#5660,#1381); +#918=LINE('',#5663,#1382); +#919=LINE('',#5667,#1383); +#920=LINE('',#5670,#1384); +#921=LINE('',#5673,#1385); +#922=LINE('',#5675,#1386); +#923=LINE('',#5677,#1387); +#924=LINE('',#5679,#1388); +#925=LINE('',#5681,#1389); +#926=LINE('',#5684,#1390); +#927=VECTOR('',#3785,10.); +#928=VECTOR('',#3786,10.); +#929=VECTOR('',#3787,10.); +#930=VECTOR('',#3788,10.); +#931=VECTOR('',#3791,10.); +#932=VECTOR('',#3792,10.); +#933=VECTOR('',#3793,10.); +#934=VECTOR('',#3796,10.); +#935=VECTOR('',#3797,10.); +#936=VECTOR('',#3798,10.); +#937=VECTOR('',#3799,10.); +#938=VECTOR('',#3802,10.); +#939=VECTOR('',#3803,10.); +#940=VECTOR('',#3804,10.); +#941=VECTOR('',#3805,10.); +#942=VECTOR('',#3806,10.); +#943=VECTOR('',#3809,10.); +#944=VECTOR('',#3810,10.); +#945=VECTOR('',#3811,10.); +#946=VECTOR('',#3812,10.); +#947=VECTOR('',#3813,10.); +#948=VECTOR('',#3814,10.); +#949=VECTOR('',#3817,10.); +#950=VECTOR('',#3818,10.); +#951=VECTOR('',#3821,10.); +#952=VECTOR('',#3822,10.); +#953=VECTOR('',#3823,10.); +#954=VECTOR('',#3824,10.); +#955=VECTOR('',#3825,10.); +#956=VECTOR('',#3828,10.); +#957=VECTOR('',#3829,10.); +#958=VECTOR('',#3830,10.); +#959=VECTOR('',#3831,10.); +#960=VECTOR('',#3832,10.); +#961=VECTOR('',#3835,10.); +#962=VECTOR('',#3836,10.); +#963=VECTOR('',#3837,10.); +#964=VECTOR('',#3838,10.); +#965=VECTOR('',#3841,10.); +#966=VECTOR('',#3842,10.); +#967=VECTOR('',#3843,10.); +#968=VECTOR('',#3844,10.); +#969=VECTOR('',#3847,10.); +#970=VECTOR('',#3848,10.); +#971=VECTOR('',#3849,10.); +#972=VECTOR('',#3850,10.); +#973=VECTOR('',#3851,10.); +#974=VECTOR('',#3852,10.); +#975=VECTOR('',#3853,10.); +#976=VECTOR('',#3854,10.); +#977=VECTOR('',#3857,10.); +#978=VECTOR('',#3858,10.); +#979=VECTOR('',#3859,10.); +#980=VECTOR('',#3860,10.); +#981=VECTOR('',#3861,10.); +#982=VECTOR('',#3862,10.); +#983=VECTOR('',#3865,10.); +#984=VECTOR('',#3866,10.); +#985=VECTOR('',#3867,10.); +#986=VECTOR('',#3870,10.); +#987=VECTOR('',#3871,10.); +#988=VECTOR('',#3874,10.); +#989=VECTOR('',#3875,10.); +#990=VECTOR('',#3876,10.); +#991=VECTOR('',#3877,10.); +#992=VECTOR('',#3878,10.); +#993=VECTOR('',#3879,10.); +#994=VECTOR('',#3880,10.); +#995=VECTOR('',#3883,10.); +#996=VECTOR('',#3884,10.); +#997=VECTOR('',#3887,10.); +#998=VECTOR('',#3888,10.); +#999=VECTOR('',#3891,10.); +#1000=VECTOR('',#3892,10.); +#1001=VECTOR('',#3897,10.); +#1002=VECTOR('',#3898,10.); +#1003=VECTOR('',#3899,10.); +#1004=VECTOR('',#3900,10.); +#1005=VECTOR('',#3903,10.); +#1006=VECTOR('',#3904,10.); +#1007=VECTOR('',#3905,10.); +#1008=VECTOR('',#3906,10.); +#1009=VECTOR('',#3909,10.); +#1010=VECTOR('',#3910,10.); +#1011=VECTOR('',#3911,10.); +#1012=VECTOR('',#3912,10.); +#1013=VECTOR('',#3913,10.); +#1014=VECTOR('',#3916,10.); +#1015=VECTOR('',#3917,10.); +#1016=VECTOR('',#3918,10.); +#1017=VECTOR('',#3919,10.); +#1018=VECTOR('',#3920,10.); +#1019=VECTOR('',#3923,10.); +#1020=VECTOR('',#3924,10.); +#1021=VECTOR('',#3925,10.); +#1022=VECTOR('',#3926,10.); +#1023=VECTOR('',#3929,10.); +#1024=VECTOR('',#3930,10.); +#1025=VECTOR('',#3931,10.); +#1026=VECTOR('',#3934,10.); +#1027=VECTOR('',#3935,10.); +#1028=VECTOR('',#3936,10.); +#1029=VECTOR('',#3937,10.); +#1030=VECTOR('',#3938,10.); +#1031=VECTOR('',#3939,10.); +#1032=VECTOR('',#3940,10.); +#1033=VECTOR('',#3941,10.); +#1034=VECTOR('',#3942,10.); +#1035=VECTOR('',#3943,10.); +#1036=VECTOR('',#3944,10.); +#1037=VECTOR('',#3945,10.); +#1038=VECTOR('',#3946,10.); +#1039=VECTOR('',#3947,10.); +#1040=VECTOR('',#3948,10.); +#1041=VECTOR('',#3949,10.); +#1042=VECTOR('',#3950,10.); +#1043=VECTOR('',#3951,10.); +#1044=VECTOR('',#3952,10.); +#1045=VECTOR('',#3953,10.); +#1046=VECTOR('',#3954,10.); +#1047=VECTOR('',#3957,10.); +#1048=VECTOR('',#3958,10.); +#1049=VECTOR('',#3959,10.); +#1050=VECTOR('',#3960,10.); +#1051=VECTOR('',#3961,10.); +#1052=VECTOR('',#3962,10.); +#1053=VECTOR('',#3963,10.); +#1054=VECTOR('',#3964,10.); +#1055=VECTOR('',#3967,10.); +#1056=VECTOR('',#3968,10.); +#1057=VECTOR('',#3969,10.); +#1058=VECTOR('',#3972,10.); +#1059=VECTOR('',#3975,10.); +#1060=VECTOR('',#3978,10.); +#1061=VECTOR('',#3979,10.); +#1062=VECTOR('',#3980,10.); +#1063=VECTOR('',#3983,10.); +#1064=VECTOR('',#3984,10.); +#1065=VECTOR('',#3985,10.); +#1066=VECTOR('',#3986,10.); +#1067=VECTOR('',#3989,10.); +#1068=VECTOR('',#3990,10.); +#1069=VECTOR('',#3991,10.); +#1070=VECTOR('',#3994,10.); +#1071=VECTOR('',#3995,10.); +#1072=VECTOR('',#3996,10.); +#1073=VECTOR('',#3997,10.); +#1074=VECTOR('',#4000,10.); +#1075=VECTOR('',#4001,10.); +#1076=VECTOR('',#4002,10.); +#1077=VECTOR('',#4003,10.); +#1078=VECTOR('',#4004,10.); +#1079=VECTOR('',#4005,10.); +#1080=VECTOR('',#4006,10.); +#1081=VECTOR('',#4009,10.); +#1082=VECTOR('',#4012,10.); +#1083=VECTOR('',#4013,10.); +#1084=VECTOR('',#4016,10.); +#1085=VECTOR('',#4017,10.); +#1086=VECTOR('',#4020,10.); +#1087=VECTOR('',#4023,10.); +#1088=VECTOR('',#4024,10.); +#1089=VECTOR('',#4025,10.); +#1090=VECTOR('',#4026,10.); +#1091=VECTOR('',#4027,10.); +#1092=VECTOR('',#4028,10.); +#1093=VECTOR('',#4031,10.); +#1094=VECTOR('',#4032,10.); +#1095=VECTOR('',#4033,10.); +#1096=VECTOR('',#4036,10.); +#1097=VECTOR('',#4037,10.); +#1098=VECTOR('',#4038,10.); +#1099=VECTOR('',#4043,10.); +#1100=VECTOR('',#4048,10.); +#1101=VECTOR('',#4049,10.); +#1102=VECTOR('',#4050,10.); +#1103=VECTOR('',#4051,10.); +#1104=VECTOR('',#4052,10.); +#1105=VECTOR('',#4053,10.); +#1106=VECTOR('',#4054,10.); +#1107=VECTOR('',#4057,10.); +#1108=VECTOR('',#4058,10.); +#1109=VECTOR('',#4059,10.); +#1110=VECTOR('',#4060,10.); +#1111=VECTOR('',#4061,10.); +#1112=VECTOR('',#4062,10.); +#1113=VECTOR('',#4063,10.); +#1114=VECTOR('',#4064,10.); +#1115=VECTOR('',#4065,10.); +#1116=VECTOR('',#4066,10.); +#1117=VECTOR('',#4067,10.); +#1118=VECTOR('',#4068,10.); +#1119=VECTOR('',#4069,10.); +#1120=VECTOR('',#4070,10.); +#1121=VECTOR('',#4071,10.); +#1122=VECTOR('',#4072,10.); +#1123=VECTOR('',#4073,10.); +#1124=VECTOR('',#4076,10.); +#1125=VECTOR('',#4077,10.); +#1126=VECTOR('',#4078,10.); +#1127=VECTOR('',#4079,10.); +#1128=VECTOR('',#4082,10.); +#1129=VECTOR('',#4083,10.); +#1130=VECTOR('',#4086,10.); +#1131=VECTOR('',#4089,10.); +#1132=VECTOR('',#4090,10.); +#1133=VECTOR('',#4091,10.); +#1134=VECTOR('',#4092,10.); +#1135=VECTOR('',#4095,10.); +#1136=VECTOR('',#4096,10.); +#1137=VECTOR('',#4099,10.); +#1138=VECTOR('',#4102,10.); +#1139=VECTOR('',#4103,10.); +#1140=VECTOR('',#4106,10.); +#1141=VECTOR('',#4107,10.); +#1142=VECTOR('',#4110,10.); +#1143=VECTOR('',#4113,10.); +#1144=VECTOR('',#4118,10.); +#1145=VECTOR('',#4119,10.); +#1146=VECTOR('',#4120,10.); +#1147=VECTOR('',#4123,10.); +#1148=VECTOR('',#4124,10.); +#1149=VECTOR('',#4125,10.); +#1150=VECTOR('',#4126,10.); +#1151=VECTOR('',#4127,10.); +#1152=VECTOR('',#4128,10.); +#1153=VECTOR('',#4131,10.); +#1154=VECTOR('',#4134,10.); +#1155=VECTOR('',#4135,10.); +#1156=VECTOR('',#4138,10.); +#1157=VECTOR('',#4139,10.); +#1158=VECTOR('',#4142,10.); +#1159=VECTOR('',#4145,10.); +#1160=VECTOR('',#4150,10.); +#1161=VECTOR('',#4151,10.); +#1162=VECTOR('',#4152,10.); +#1163=VECTOR('',#4155,10.); +#1164=VECTOR('',#4156,10.); +#1165=VECTOR('',#4157,10.); +#1166=VECTOR('',#4158,10.); +#1167=VECTOR('',#4159,10.); +#1168=VECTOR('',#4160,10.); +#1169=VECTOR('',#4163,10.); +#1170=VECTOR('',#4166,10.); +#1171=VECTOR('',#4167,10.); +#1172=VECTOR('',#4170,10.); +#1173=VECTOR('',#4171,10.); +#1174=VECTOR('',#4174,10.); +#1175=VECTOR('',#4177,10.); +#1176=VECTOR('',#4182,10.); +#1177=VECTOR('',#4183,10.); +#1178=VECTOR('',#4186,10.); +#1179=VECTOR('',#4187,10.); +#1180=VECTOR('',#4188,10.); +#1181=VECTOR('',#4189,10.); +#1182=VECTOR('',#4190,10.); +#1183=VECTOR('',#4191,10.); +#1184=VECTOR('',#4194,10.); +#1185=VECTOR('',#4197,10.); +#1186=VECTOR('',#4198,10.); +#1187=VECTOR('',#4201,10.); +#1188=VECTOR('',#4202,10.); +#1189=VECTOR('',#4205,10.); +#1190=VECTOR('',#4208,10.); +#1191=VECTOR('',#4221,10.); +#1192=VECTOR('',#4222,10.); +#1193=VECTOR('',#4223,10.); +#1194=VECTOR('',#4224,10.); +#1195=VECTOR('',#4227,10.); +#1196=VECTOR('',#4228,10.); +#1197=VECTOR('',#4229,10.); +#1198=VECTOR('',#4232,10.); +#1199=VECTOR('',#4233,10.); +#1200=VECTOR('',#4234,10.); +#1201=VECTOR('',#4237,10.); +#1202=VECTOR('',#4238,10.); +#1203=VECTOR('',#4245,10.); +#1204=VECTOR('',#4246,10.); +#1205=VECTOR('',#4247,10.); +#1206=VECTOR('',#4248,10.); +#1207=VECTOR('',#4251,10.); +#1208=VECTOR('',#4252,10.); +#1209=VECTOR('',#4253,10.); +#1210=VECTOR('',#4256,10.); +#1211=VECTOR('',#4257,10.); +#1212=VECTOR('',#4258,10.); +#1213=VECTOR('',#4261,10.); +#1214=VECTOR('',#4262,10.); +#1215=VECTOR('',#4269,10.); +#1216=VECTOR('',#4270,10.); +#1217=VECTOR('',#4271,10.); +#1218=VECTOR('',#4272,10.); +#1219=VECTOR('',#4275,10.); +#1220=VECTOR('',#4276,10.); +#1221=VECTOR('',#4277,10.); +#1222=VECTOR('',#4280,10.); +#1223=VECTOR('',#4281,10.); +#1224=VECTOR('',#4282,10.); +#1225=VECTOR('',#4285,10.); +#1226=VECTOR('',#4286,10.); +#1227=VECTOR('',#4289,10.); +#1228=VECTOR('',#4290,10.); +#1229=VECTOR('',#4291,10.); +#1230=VECTOR('',#4294,10.); +#1231=VECTOR('',#4297,10.); +#1232=VECTOR('',#4300,10.); +#1233=VECTOR('',#4301,10.); +#1234=VECTOR('',#4302,10.); +#1235=VECTOR('',#4305,10.); +#1236=VECTOR('',#4312,10.); +#1237=VECTOR('',#4313,10.); +#1238=VECTOR('',#4314,10.); +#1239=VECTOR('',#4315,10.); +#1240=VECTOR('',#4318,10.); +#1241=VECTOR('',#4319,10.); +#1242=VECTOR('',#4320,10.); +#1243=VECTOR('',#4323,10.); +#1244=VECTOR('',#4324,10.); +#1245=VECTOR('',#4325,10.); +#1246=VECTOR('',#4328,10.); +#1247=VECTOR('',#4331,10.); +#1248=VECTOR('',#4332,10.); +#1249=VECTOR('',#4337,10.); +#1250=VECTOR('',#4340,10.); +#1251=VECTOR('',#4343,10.); +#1252=VECTOR('',#4346,10.); +#1253=VECTOR('',#4351,10.); +#1254=VECTOR('',#4354,10.); +#1255=VECTOR('',#4357,10.); +#1256=VECTOR('',#4360,10.); +#1257=VECTOR('',#4363,10.); +#1258=VECTOR('',#4368,10.); +#1259=VECTOR('',#4373,10.); +#1260=VECTOR('',#4374,10.); +#1261=VECTOR('',#4375,10.); +#1262=VECTOR('',#4376,10.); +#1263=VECTOR('',#4379,10.); +#1264=VECTOR('',#4380,10.); +#1265=VECTOR('',#4381,10.); +#1266=VECTOR('',#4384,10.); +#1267=VECTOR('',#4385,10.); +#1268=VECTOR('',#4386,10.); +#1269=VECTOR('',#4389,10.); +#1270=VECTOR('',#4390,10.); +#1271=VECTOR('',#4393,10.); +#1272=VECTOR('',#4394,10.); +#1273=VECTOR('',#4395,10.); +#1274=VECTOR('',#4398,10.); +#1275=VECTOR('',#4401,10.); +#1276=VECTOR('',#4404,10.); +#1277=VECTOR('',#4405,10.); +#1278=VECTOR('',#4406,10.); +#1279=VECTOR('',#4409,10.); +#1280=VECTOR('',#4416,10.); +#1281=VECTOR('',#4417,10.); +#1282=VECTOR('',#4418,10.); +#1283=VECTOR('',#4419,10.); +#1284=VECTOR('',#4422,10.); +#1285=VECTOR('',#4423,10.); +#1286=VECTOR('',#4424,10.); +#1287=VECTOR('',#4427,10.); +#1288=VECTOR('',#4428,10.); +#1289=VECTOR('',#4429,10.); +#1290=VECTOR('',#4432,10.); +#1291=VECTOR('',#4435,10.); +#1292=VECTOR('',#4436,10.); +#1293=VECTOR('',#4441,10.); +#1294=VECTOR('',#4444,10.); +#1295=VECTOR('',#4447,10.); +#1296=VECTOR('',#4450,10.); +#1297=VECTOR('',#4455,10.); +#1298=VECTOR('',#4458,10.); +#1299=VECTOR('',#4461,10.); +#1300=VECTOR('',#4464,10.); +#1301=VECTOR('',#4467,10.); +#1302=VECTOR('',#4472,10.); +#1303=VECTOR('',#4477,10.); +#1304=VECTOR('',#4478,10.); +#1305=VECTOR('',#4479,10.); +#1306=VECTOR('',#4480,10.); +#1307=VECTOR('',#4483,10.); +#1308=VECTOR('',#4484,10.); +#1309=VECTOR('',#4485,10.); +#1310=VECTOR('',#4488,10.); +#1311=VECTOR('',#4489,10.); +#1312=VECTOR('',#4490,10.); +#1313=VECTOR('',#4493,10.); +#1314=VECTOR('',#4494,10.); +#1315=VECTOR('',#4497,10.); +#1316=VECTOR('',#4498,10.); +#1317=VECTOR('',#4499,10.); +#1318=VECTOR('',#4502,10.); +#1319=VECTOR('',#4505,10.); +#1320=VECTOR('',#4508,10.); +#1321=VECTOR('',#4509,10.); +#1322=VECTOR('',#4510,10.); +#1323=VECTOR('',#4513,10.); +#1324=VECTOR('',#4520,10.); +#1325=VECTOR('',#4521,10.); +#1326=VECTOR('',#4522,10.); +#1327=VECTOR('',#4523,10.); +#1328=VECTOR('',#4526,10.); +#1329=VECTOR('',#4527,10.); +#1330=VECTOR('',#4528,10.); +#1331=VECTOR('',#4531,10.); +#1332=VECTOR('',#4532,10.); +#1333=VECTOR('',#4533,10.); +#1334=VECTOR('',#4536,10.); +#1335=VECTOR('',#4539,10.); +#1336=VECTOR('',#4540,10.); +#1337=VECTOR('',#4545,10.); +#1338=VECTOR('',#4548,10.); +#1339=VECTOR('',#4551,10.); +#1340=VECTOR('',#4554,10.); +#1341=VECTOR('',#4559,10.); +#1342=VECTOR('',#4562,10.); +#1343=VECTOR('',#4565,10.); +#1344=VECTOR('',#4568,10.); +#1345=VECTOR('',#4571,10.); +#1346=VECTOR('',#4576,10.); +#1347=VECTOR('',#4581,10.); +#1348=VECTOR('',#4582,10.); +#1349=VECTOR('',#4583,10.); +#1350=VECTOR('',#4584,10.); +#1351=VECTOR('',#4587,10.); +#1352=VECTOR('',#4588,10.); +#1353=VECTOR('',#4589,10.); +#1354=VECTOR('',#4592,10.); +#1355=VECTOR('',#4593,10.); +#1356=VECTOR('',#4594,10.); +#1357=VECTOR('',#4597,10.); +#1358=VECTOR('',#4598,10.); +#1359=VECTOR('',#4601,10.); +#1360=VECTOR('',#4602,10.); +#1361=VECTOR('',#4603,10.); +#1362=VECTOR('',#4606,10.); +#1363=VECTOR('',#4609,10.); +#1364=VECTOR('',#4612,10.); +#1365=VECTOR('',#4613,10.); +#1366=VECTOR('',#4614,10.); +#1367=VECTOR('',#4617,10.); +#1368=VECTOR('',#4624,10.); +#1369=VECTOR('',#4625,10.); +#1370=VECTOR('',#4626,10.); +#1371=VECTOR('',#4627,10.); +#1372=VECTOR('',#4630,10.); +#1373=VECTOR('',#4631,10.); +#1374=VECTOR('',#4632,10.); +#1375=VECTOR('',#4635,10.); +#1376=VECTOR('',#4636,10.); +#1377=VECTOR('',#4637,10.); +#1378=VECTOR('',#4640,10.); +#1379=VECTOR('',#4643,10.); +#1380=VECTOR('',#4644,10.); +#1381=VECTOR('',#4649,10.); +#1382=VECTOR('',#4652,10.); +#1383=VECTOR('',#4655,10.); +#1384=VECTOR('',#4658,10.); +#1385=VECTOR('',#4663,10.); +#1386=VECTOR('',#4666,10.); +#1387=VECTOR('',#4669,10.); +#1388=VECTOR('',#4672,10.); +#1389=VECTOR('',#4675,10.); +#1390=VECTOR('',#4680,10.); +#1391=VERTEX_POINT('',#4685); +#1392=VERTEX_POINT('',#4686); +#1393=VERTEX_POINT('',#4688); +#1394=VERTEX_POINT('',#4690); +#1395=VERTEX_POINT('',#4694); +#1396=VERTEX_POINT('',#4696); +#1397=VERTEX_POINT('',#4700); +#1398=VERTEX_POINT('',#4701); +#1399=VERTEX_POINT('',#4703); +#1400=VERTEX_POINT('',#4705); +#1401=VERTEX_POINT('',#4709); +#1402=VERTEX_POINT('',#4711); +#1403=VERTEX_POINT('',#4713); +#1404=VERTEX_POINT('',#4715); +#1405=VERTEX_POINT('',#4719); +#1406=VERTEX_POINT('',#4720); +#1407=VERTEX_POINT('',#4722); +#1408=VERTEX_POINT('',#4724); +#1409=VERTEX_POINT('',#4726); +#1410=VERTEX_POINT('',#4728); +#1411=VERTEX_POINT('',#4735); +#1412=VERTEX_POINT('',#4737); +#1413=VERTEX_POINT('',#4739); +#1414=VERTEX_POINT('',#4741); +#1415=VERTEX_POINT('',#4745); +#1416=VERTEX_POINT('',#4747); +#1417=VERTEX_POINT('',#4749); +#1418=VERTEX_POINT('',#4751); +#1419=VERTEX_POINT('',#4755); +#1420=VERTEX_POINT('',#4757); +#1421=VERTEX_POINT('',#4759); +#1422=VERTEX_POINT('',#4763); +#1423=VERTEX_POINT('',#4765); +#1424=VERTEX_POINT('',#4767); +#1425=VERTEX_POINT('',#4772); +#1426=VERTEX_POINT('',#4774); +#1427=VERTEX_POINT('',#4776); +#1428=VERTEX_POINT('',#4778); +#1429=VERTEX_POINT('',#4780); +#1430=VERTEX_POINT('',#4782); +#1431=VERTEX_POINT('',#4786); +#1432=VERTEX_POINT('',#4787); +#1433=VERTEX_POINT('',#4789); +#1434=VERTEX_POINT('',#4791); +#1435=VERTEX_POINT('',#4793); +#1436=VERTEX_POINT('',#4795); +#1437=VERTEX_POINT('',#4799); +#1438=VERTEX_POINT('',#4800); +#1439=VERTEX_POINT('',#4805); +#1440=VERTEX_POINT('',#4810); +#1441=VERTEX_POINT('',#4812); +#1442=VERTEX_POINT('',#4814); +#1443=VERTEX_POINT('',#4816); +#1444=VERTEX_POINT('',#4818); +#1445=VERTEX_POINT('',#4822); +#1446=VERTEX_POINT('',#4826); +#1447=VERTEX_POINT('',#4834); +#1448=VERTEX_POINT('',#4836); +#1449=VERTEX_POINT('',#4838); +#1450=VERTEX_POINT('',#4842); +#1451=VERTEX_POINT('',#4843); +#1452=VERTEX_POINT('',#4845); +#1453=VERTEX_POINT('',#4847); +#1454=VERTEX_POINT('',#4851); +#1455=VERTEX_POINT('',#4853); +#1456=VERTEX_POINT('',#4855); +#1457=VERTEX_POINT('',#4857); +#1458=VERTEX_POINT('',#4861); +#1459=VERTEX_POINT('',#4863); +#1460=VERTEX_POINT('',#4865); +#1461=VERTEX_POINT('',#4867); +#1462=VERTEX_POINT('',#4872); +#1463=VERTEX_POINT('',#4874); +#1464=VERTEX_POINT('',#4878); +#1465=VERTEX_POINT('',#4880); +#1466=VERTEX_POINT('',#4885); +#1467=VERTEX_POINT('',#4888); +#1468=VERTEX_POINT('',#4890); +#1469=VERTEX_POINT('',#4892); +#1470=VERTEX_POINT('',#4894); +#1471=VERTEX_POINT('',#4896); +#1472=VERTEX_POINT('',#4898); +#1473=VERTEX_POINT('',#4900); +#1474=VERTEX_POINT('',#4902); +#1475=VERTEX_POINT('',#4904); +#1476=VERTEX_POINT('',#4906); +#1477=VERTEX_POINT('',#4908); +#1478=VERTEX_POINT('',#4910); +#1479=VERTEX_POINT('',#4912); +#1480=VERTEX_POINT('',#4914); +#1481=VERTEX_POINT('',#4916); +#1482=VERTEX_POINT('',#4918); +#1483=VERTEX_POINT('',#4920); +#1484=VERTEX_POINT('',#4924); +#1485=VERTEX_POINT('',#4926); +#1486=VERTEX_POINT('',#4928); +#1487=VERTEX_POINT('',#4930); +#1488=VERTEX_POINT('',#4933); +#1489=VERTEX_POINT('',#4938); +#1490=VERTEX_POINT('',#4940); +#1491=VERTEX_POINT('',#4948); +#1492=VERTEX_POINT('',#4950); +#1493=VERTEX_POINT('',#4954); +#1494=VERTEX_POINT('',#4955); +#1495=VERTEX_POINT('',#4957); +#1496=VERTEX_POINT('',#4959); +#1497=VERTEX_POINT('',#4963); +#1498=VERTEX_POINT('',#4964); +#1499=VERTEX_POINT('',#4969); +#1500=VERTEX_POINT('',#4970); +#1501=VERTEX_POINT('',#4972); +#1502=VERTEX_POINT('',#4978); +#1503=VERTEX_POINT('',#4980); +#1504=VERTEX_POINT('',#4982); +#1505=VERTEX_POINT('',#4984); +#1506=VERTEX_POINT('',#4986); +#1507=VERTEX_POINT('',#4992); +#1508=VERTEX_POINT('',#4996); +#1509=VERTEX_POINT('',#5002); +#1510=VERTEX_POINT('',#5003); +#1511=VERTEX_POINT('',#5005); +#1512=VERTEX_POINT('',#5007); +#1513=VERTEX_POINT('',#5009); +#1514=VERTEX_POINT('',#5011); +#1515=VERTEX_POINT('',#5027); +#1516=VERTEX_POINT('',#5029); +#1517=VERTEX_POINT('',#5032); +#1518=VERTEX_POINT('',#5033); +#1519=VERTEX_POINT('',#5035); +#1520=VERTEX_POINT('',#5037); +#1521=VERTEX_POINT('',#5042); +#1522=VERTEX_POINT('',#5043); +#1523=VERTEX_POINT('',#5045); +#1524=VERTEX_POINT('',#5047); +#1525=VERTEX_POINT('',#5050); +#1526=VERTEX_POINT('',#5051); +#1527=VERTEX_POINT('',#5053); +#1528=VERTEX_POINT('',#5055); +#1529=VERTEX_POINT('',#5058); +#1530=VERTEX_POINT('',#5059); +#1531=VERTEX_POINT('',#5061); +#1532=VERTEX_POINT('',#5063); +#1533=VERTEX_POINT('',#5066); +#1534=VERTEX_POINT('',#5067); +#1535=VERTEX_POINT('',#5069); +#1536=VERTEX_POINT('',#5071); +#1537=VERTEX_POINT('',#5075); +#1538=VERTEX_POINT('',#5076); +#1539=VERTEX_POINT('',#5078); +#1540=VERTEX_POINT('',#5080); +#1541=VERTEX_POINT('',#5090); +#1542=VERTEX_POINT('',#5092); +#1543=VERTEX_POINT('',#5096); +#1544=VERTEX_POINT('',#5102); +#1545=VERTEX_POINT('',#5114); +#1546=VERTEX_POINT('',#5115); +#1547=VERTEX_POINT('',#5120); +#1548=VERTEX_POINT('',#5123); +#1549=VERTEX_POINT('',#5124); +#1550=VERTEX_POINT('',#5126); +#1551=VERTEX_POINT('',#5128); +#1552=VERTEX_POINT('',#5134); +#1553=VERTEX_POINT('',#5146); +#1554=VERTEX_POINT('',#5147); +#1555=VERTEX_POINT('',#5152); +#1556=VERTEX_POINT('',#5155); +#1557=VERTEX_POINT('',#5156); +#1558=VERTEX_POINT('',#5158); +#1559=VERTEX_POINT('',#5160); +#1560=VERTEX_POINT('',#5166); +#1561=VERTEX_POINT('',#5178); +#1562=VERTEX_POINT('',#5182); +#1563=VERTEX_POINT('',#5185); +#1564=VERTEX_POINT('',#5186); +#1565=VERTEX_POINT('',#5188); +#1566=VERTEX_POINT('',#5190); +#1567=VERTEX_POINT('',#5211); +#1568=VERTEX_POINT('',#5212); +#1569=VERTEX_POINT('',#5214); +#1570=VERTEX_POINT('',#5216); +#1571=VERTEX_POINT('',#5220); +#1572=VERTEX_POINT('',#5222); +#1573=VERTEX_POINT('',#5226); +#1574=VERTEX_POINT('',#5228); +#1575=VERTEX_POINT('',#5237); +#1576=VERTEX_POINT('',#5238); +#1577=VERTEX_POINT('',#5240); +#1578=VERTEX_POINT('',#5242); +#1579=VERTEX_POINT('',#5246); +#1580=VERTEX_POINT('',#5248); +#1581=VERTEX_POINT('',#5252); +#1582=VERTEX_POINT('',#5254); +#1583=VERTEX_POINT('',#5263); +#1584=VERTEX_POINT('',#5264); +#1585=VERTEX_POINT('',#5266); +#1586=VERTEX_POINT('',#5268); +#1587=VERTEX_POINT('',#5272); +#1588=VERTEX_POINT('',#5274); +#1589=VERTEX_POINT('',#5278); +#1590=VERTEX_POINT('',#5280); +#1591=VERTEX_POINT('',#5287); +#1592=VERTEX_POINT('',#5289); +#1593=VERTEX_POINT('',#5293); +#1594=VERTEX_POINT('',#5295); +#1595=VERTEX_POINT('',#5297); +#1596=VERTEX_POINT('',#5299); +#1597=VERTEX_POINT('',#5301); +#1598=VERTEX_POINT('',#5303); +#1599=VERTEX_POINT('',#5305); +#1600=VERTEX_POINT('',#5307); +#1601=VERTEX_POINT('',#5309); +#1602=VERTEX_POINT('',#5314); +#1603=VERTEX_POINT('',#5315); +#1604=VERTEX_POINT('',#5317); +#1605=VERTEX_POINT('',#5319); +#1606=VERTEX_POINT('',#5324); +#1607=VERTEX_POINT('',#5328); +#1608=VERTEX_POINT('',#5335); +#1609=VERTEX_POINT('',#5339); +#1610=VERTEX_POINT('',#5341); +#1611=VERTEX_POINT('',#5344); +#1612=VERTEX_POINT('',#5346); +#1613=VERTEX_POINT('',#5348); +#1614=VERTEX_POINT('',#5350); +#1615=VERTEX_POINT('',#5369); +#1616=VERTEX_POINT('',#5370); +#1617=VERTEX_POINT('',#5372); +#1618=VERTEX_POINT('',#5374); +#1619=VERTEX_POINT('',#5378); +#1620=VERTEX_POINT('',#5380); +#1621=VERTEX_POINT('',#5384); +#1622=VERTEX_POINT('',#5386); +#1623=VERTEX_POINT('',#5393); +#1624=VERTEX_POINT('',#5395); +#1625=VERTEX_POINT('',#5399); +#1626=VERTEX_POINT('',#5401); +#1627=VERTEX_POINT('',#5403); +#1628=VERTEX_POINT('',#5405); +#1629=VERTEX_POINT('',#5407); +#1630=VERTEX_POINT('',#5409); +#1631=VERTEX_POINT('',#5411); +#1632=VERTEX_POINT('',#5413); +#1633=VERTEX_POINT('',#5415); +#1634=VERTEX_POINT('',#5420); +#1635=VERTEX_POINT('',#5421); +#1636=VERTEX_POINT('',#5423); +#1637=VERTEX_POINT('',#5425); +#1638=VERTEX_POINT('',#5430); +#1639=VERTEX_POINT('',#5434); +#1640=VERTEX_POINT('',#5441); +#1641=VERTEX_POINT('',#5445); +#1642=VERTEX_POINT('',#5447); +#1643=VERTEX_POINT('',#5450); +#1644=VERTEX_POINT('',#5452); +#1645=VERTEX_POINT('',#5454); +#1646=VERTEX_POINT('',#5456); +#1647=VERTEX_POINT('',#5475); +#1648=VERTEX_POINT('',#5476); +#1649=VERTEX_POINT('',#5478); +#1650=VERTEX_POINT('',#5480); +#1651=VERTEX_POINT('',#5484); +#1652=VERTEX_POINT('',#5486); +#1653=VERTEX_POINT('',#5490); +#1654=VERTEX_POINT('',#5492); +#1655=VERTEX_POINT('',#5499); +#1656=VERTEX_POINT('',#5501); +#1657=VERTEX_POINT('',#5505); +#1658=VERTEX_POINT('',#5507); +#1659=VERTEX_POINT('',#5509); +#1660=VERTEX_POINT('',#5511); +#1661=VERTEX_POINT('',#5513); +#1662=VERTEX_POINT('',#5515); +#1663=VERTEX_POINT('',#5517); +#1664=VERTEX_POINT('',#5519); +#1665=VERTEX_POINT('',#5521); +#1666=VERTEX_POINT('',#5526); +#1667=VERTEX_POINT('',#5527); +#1668=VERTEX_POINT('',#5529); +#1669=VERTEX_POINT('',#5531); +#1670=VERTEX_POINT('',#5536); +#1671=VERTEX_POINT('',#5540); +#1672=VERTEX_POINT('',#5547); +#1673=VERTEX_POINT('',#5551); +#1674=VERTEX_POINT('',#5553); +#1675=VERTEX_POINT('',#5556); +#1676=VERTEX_POINT('',#5558); +#1677=VERTEX_POINT('',#5560); +#1678=VERTEX_POINT('',#5562); +#1679=VERTEX_POINT('',#5581); +#1680=VERTEX_POINT('',#5582); +#1681=VERTEX_POINT('',#5584); +#1682=VERTEX_POINT('',#5586); +#1683=VERTEX_POINT('',#5590); +#1684=VERTEX_POINT('',#5592); +#1685=VERTEX_POINT('',#5596); +#1686=VERTEX_POINT('',#5598); +#1687=VERTEX_POINT('',#5605); +#1688=VERTEX_POINT('',#5607); +#1689=VERTEX_POINT('',#5611); +#1690=VERTEX_POINT('',#5613); +#1691=VERTEX_POINT('',#5615); +#1692=VERTEX_POINT('',#5617); +#1693=VERTEX_POINT('',#5619); +#1694=VERTEX_POINT('',#5621); +#1695=VERTEX_POINT('',#5623); +#1696=VERTEX_POINT('',#5625); +#1697=VERTEX_POINT('',#5627); +#1698=VERTEX_POINT('',#5632); +#1699=VERTEX_POINT('',#5633); +#1700=VERTEX_POINT('',#5635); +#1701=VERTEX_POINT('',#5637); +#1702=VERTEX_POINT('',#5642); +#1703=VERTEX_POINT('',#5646); +#1704=VERTEX_POINT('',#5653); +#1705=VERTEX_POINT('',#5657); +#1706=VERTEX_POINT('',#5659); +#1707=VERTEX_POINT('',#5662); +#1708=VERTEX_POINT('',#5664); +#1709=VERTEX_POINT('',#5666); +#1710=VERTEX_POINT('',#5668); +#1711=EDGE_CURVE('',#1391,#1392,#463,.T.); +#1712=EDGE_CURVE('',#1391,#1393,#464,.T.); +#1713=EDGE_CURVE('',#1394,#1393,#465,.T.); +#1714=EDGE_CURVE('',#1392,#1394,#466,.T.); +#1715=EDGE_CURVE('',#1395,#1391,#467,.T.); +#1716=EDGE_CURVE('',#1396,#1395,#468,.T.); +#1717=EDGE_CURVE('',#1393,#1396,#469,.T.); +#1718=EDGE_CURVE('',#1397,#1398,#470,.T.); +#1719=EDGE_CURVE('',#1399,#1397,#471,.T.); +#1720=EDGE_CURVE('',#1400,#1399,#472,.T.); +#1721=EDGE_CURVE('',#1398,#1400,#473,.T.); +#1722=EDGE_CURVE('',#1392,#1401,#474,.T.); +#1723=EDGE_CURVE('',#1402,#1394,#475,.T.); +#1724=EDGE_CURVE('',#1402,#1403,#476,.T.); +#1725=EDGE_CURVE('',#1404,#1403,#477,.T.); +#1726=EDGE_CURVE('',#1401,#1404,#478,.T.); +#1727=EDGE_CURVE('',#1405,#1406,#479,.T.); +#1728=EDGE_CURVE('',#1407,#1405,#480,.T.); +#1729=EDGE_CURVE('',#1407,#1408,#481,.T.); +#1730=EDGE_CURVE('',#1409,#1408,#482,.T.); +#1731=EDGE_CURVE('',#1410,#1409,#483,.T.); +#1732=EDGE_CURVE('',#1410,#1406,#484,.T.); +#1733=EDGE_CURVE('',#1408,#1397,#485,.T.); +#1734=EDGE_CURVE('',#1399,#1407,#486,.T.); +#1735=EDGE_CURVE('',#1411,#1398,#487,.T.); +#1736=EDGE_CURVE('',#1411,#1412,#488,.T.); +#1737=EDGE_CURVE('',#1413,#1412,#489,.F.); +#1738=EDGE_CURVE('',#1414,#1413,#490,.T.); +#1739=EDGE_CURVE('',#1409,#1414,#491,.T.); +#1740=EDGE_CURVE('',#1415,#1401,#492,.T.); +#1741=EDGE_CURVE('',#1415,#1416,#493,.T.); +#1742=EDGE_CURVE('',#1417,#1416,#494,.F.); +#1743=EDGE_CURVE('',#1418,#1417,#495,.T.); +#1744=EDGE_CURVE('',#1395,#1418,#496,.T.); +#1745=EDGE_CURVE('',#1418,#1419,#497,.T.); +#1746=EDGE_CURVE('',#1419,#1420,#498,.T.); +#1747=EDGE_CURVE('',#1421,#1420,#499,.T.); +#1748=EDGE_CURVE('',#1396,#1421,#500,.T.); +#1749=EDGE_CURVE('',#1422,#1400,#501,.T.); +#1750=EDGE_CURVE('',#1423,#1422,#502,.T.); +#1751=EDGE_CURVE('',#1423,#1424,#503,.T.); +#1752=EDGE_CURVE('',#1424,#1411,#504,.T.); +#1753=EDGE_CURVE('',#1414,#1415,#505,.T.); +#1754=EDGE_CURVE('',#1404,#1425,#506,.T.); +#1755=EDGE_CURVE('',#1425,#1426,#507,.T.); +#1756=EDGE_CURVE('',#1426,#1427,#508,.T.); +#1757=EDGE_CURVE('',#1427,#1428,#509,.T.); +#1758=EDGE_CURVE('',#1428,#1429,#510,.T.); +#1759=EDGE_CURVE('',#1429,#1430,#511,.T.); +#1760=EDGE_CURVE('',#1430,#1410,#512,.T.); +#1761=EDGE_CURVE('',#1431,#1432,#513,.T.); +#1762=EDGE_CURVE('',#1433,#1431,#514,.T.); +#1763=EDGE_CURVE('',#1433,#1434,#515,.T.); +#1764=EDGE_CURVE('',#1434,#1435,#516,.T.); +#1765=EDGE_CURVE('',#1436,#1435,#517,.T.); +#1766=EDGE_CURVE('',#1432,#1436,#518,.T.); +#1767=EDGE_CURVE('',#1437,#1438,#519,.T.); +#1768=EDGE_CURVE('',#1438,#1434,#520,.T.); +#1769=EDGE_CURVE('',#1437,#1433,#521,.T.); +#1770=EDGE_CURVE('',#1435,#1439,#522,.T.); +#1771=EDGE_CURVE('',#1438,#1439,#523,.T.); +#1772=EDGE_CURVE('',#1425,#1437,#524,.T.); +#1773=EDGE_CURVE('',#1431,#1440,#525,.T.); +#1774=EDGE_CURVE('',#1441,#1440,#526,.T.); +#1775=EDGE_CURVE('',#1441,#1442,#527,.T.); +#1776=EDGE_CURVE('',#1442,#1443,#528,.T.); +#1777=EDGE_CURVE('',#1444,#1443,#529,.T.); +#1778=EDGE_CURVE('',#1444,#1426,#530,.T.); +#1779=EDGE_CURVE('',#1445,#1432,#531,.T.); +#1780=EDGE_CURVE('',#1440,#1445,#532,.T.); +#1781=EDGE_CURVE('',#1446,#1436,#533,.T.); +#1782=EDGE_CURVE('',#1445,#1446,#534,.T.); +#1783=EDGE_CURVE('',#1403,#1439,#535,.T.); +#1784=EDGE_CURVE('',#1446,#1402,#536,.T.); +#1785=EDGE_CURVE('',#1421,#1447,#537,.T.); +#1786=EDGE_CURVE('',#1447,#1448,#538,.T.); +#1787=EDGE_CURVE('',#1448,#1449,#539,.T.); +#1788=EDGE_CURVE('',#1449,#1441,#540,.T.); +#1789=EDGE_CURVE('',#1450,#1451,#541,.T.); +#1790=EDGE_CURVE('',#1452,#1450,#542,.T.); +#1791=EDGE_CURVE('',#1453,#1452,#543,.T.); +#1792=EDGE_CURVE('',#1453,#1451,#544,.T.); +#1793=EDGE_CURVE('',#1451,#1454,#545,.T.); +#1794=EDGE_CURVE('',#1455,#1453,#546,.T.); +#1795=EDGE_CURVE('',#1456,#1455,#547,.T.); +#1796=EDGE_CURVE('',#1457,#1456,#548,.T.); +#1797=EDGE_CURVE('',#1454,#1457,#549,.T.); +#1798=EDGE_CURVE('',#1458,#1450,#550,.T.); +#1799=EDGE_CURVE('',#1459,#1458,#551,.T.); +#1800=EDGE_CURVE('',#1460,#1459,#552,.T.); +#1801=EDGE_CURVE('',#1461,#1460,#553,.T.); +#1802=EDGE_CURVE('',#1452,#1461,#554,.T.); +#1803=EDGE_CURVE('',#1457,#1459,#555,.T.); +#1804=EDGE_CURVE('',#1462,#1456,#556,.T.); +#1805=EDGE_CURVE('',#1463,#1462,#557,.T.); +#1806=EDGE_CURVE('',#1460,#1463,#558,.T.); +#1807=EDGE_CURVE('',#1464,#1419,#559,.T.); +#1808=EDGE_CURVE('',#1465,#1464,#560,.T.); +#1809=EDGE_CURVE('',#1420,#1465,#561,.T.); +#1810=EDGE_CURVE('',#1447,#1461,#562,.T.); +#1811=EDGE_CURVE('',#1465,#1466,#563,.T.); +#1812=EDGE_CURVE('',#1466,#1423,#564,.T.); +#1813=EDGE_CURVE('',#1467,#1422,#565,.T.); +#1814=EDGE_CURVE('',#1468,#1467,#566,.T.); +#1815=EDGE_CURVE('',#1468,#1469,#567,.T.); +#1816=EDGE_CURVE('',#1469,#1470,#568,.T.); +#1817=EDGE_CURVE('',#1470,#1471,#569,.T.); +#1818=EDGE_CURVE('',#1471,#1472,#570,.T.); +#1819=EDGE_CURVE('',#1472,#1473,#571,.T.); +#1820=EDGE_CURVE('',#1474,#1473,#572,.T.); +#1821=EDGE_CURVE('',#1474,#1475,#573,.T.); +#1822=EDGE_CURVE('',#1475,#1476,#574,.T.); +#1823=EDGE_CURVE('',#1476,#1477,#575,.T.); +#1824=EDGE_CURVE('',#1478,#1477,#576,.T.); +#1825=EDGE_CURVE('',#1478,#1479,#577,.T.); +#1826=EDGE_CURVE('',#1479,#1480,#578,.T.); +#1827=EDGE_CURVE('',#1480,#1481,#579,.T.); +#1828=EDGE_CURVE('',#1482,#1481,#580,.T.); +#1829=EDGE_CURVE('',#1482,#1483,#581,.T.); +#1830=EDGE_CURVE('',#1483,#1455,#582,.T.); +#1831=EDGE_CURVE('',#1484,#1454,#583,.F.); +#1832=EDGE_CURVE('',#1484,#1485,#584,.T.); +#1833=EDGE_CURVE('',#1485,#1486,#585,.T.); +#1834=EDGE_CURVE('',#1486,#1487,#586,.T.); +#1835=EDGE_CURVE('',#1412,#1487,#587,.F.); +#1836=EDGE_CURVE('',#1424,#1488,#588,.T.); +#1837=EDGE_CURVE('',#1488,#1464,#589,.T.); +#1838=EDGE_CURVE('',#1458,#1417,#590,.F.); +#1839=EDGE_CURVE('',#1489,#1448,#591,.T.); +#1840=EDGE_CURVE('',#1489,#1490,#592,.T.); +#1841=EDGE_CURVE('',#1449,#1490,#593,.T.); +#1842=EDGE_CURVE('',#1490,#1442,#594,.T.); +#1843=EDGE_CURVE('',#1443,#1489,#595,.T.); +#1844=EDGE_CURVE('',#1463,#1491,#596,.T.); +#1845=EDGE_CURVE('',#1491,#1492,#597,.T.); +#1846=EDGE_CURVE('',#1492,#1444,#598,.T.); +#1847=EDGE_CURVE('',#1493,#1494,#599,.T.); +#1848=EDGE_CURVE('',#1495,#1493,#600,.T.); +#1849=EDGE_CURVE('',#1495,#1496,#601,.T.); +#1850=EDGE_CURVE('',#1494,#1496,#602,.T.); +#1851=EDGE_CURVE('',#1497,#1498,#603,.T.); +#1852=EDGE_CURVE('',#1494,#1497,#604,.T.); +#1853=EDGE_CURVE('',#1496,#1498,#605,.T.); +#1854=EDGE_CURVE('',#1499,#1500,#606,.T.); +#1855=EDGE_CURVE('',#1501,#1499,#607,.T.); +#1856=EDGE_CURVE('',#1498,#1501,#608,.T.); +#1857=EDGE_CURVE('',#1500,#1495,#609,.T.); +#1858=EDGE_CURVE('',#1493,#1430,#610,.T.); +#1859=EDGE_CURVE('',#1429,#1502,#611,.T.); +#1860=EDGE_CURVE('',#1503,#1502,#612,.T.); +#1861=EDGE_CURVE('',#1504,#1503,#613,.T.); +#1862=EDGE_CURVE('',#1505,#1504,#614,.T.); +#1863=EDGE_CURVE('',#1506,#1505,#615,.T.); +#1864=EDGE_CURVE('',#1506,#1500,#616,.T.); +#1865=EDGE_CURVE('',#1406,#1497,#617,.T.); +#1866=EDGE_CURVE('',#1507,#1506,#618,.T.); +#1867=EDGE_CURVE('',#1507,#1499,#619,.T.); +#1868=EDGE_CURVE('',#1508,#1507,#620,.T.); +#1869=EDGE_CURVE('',#1508,#1501,#621,.T.); +#1870=EDGE_CURVE('',#1405,#1508,#622,.T.); +#1871=EDGE_CURVE('',#1509,#1510,#623,.T.); +#1872=EDGE_CURVE('',#1511,#1509,#624,.T.); +#1873=EDGE_CURVE('',#1512,#1511,#625,.T.); +#1874=EDGE_CURVE('',#1513,#1512,#626,.T.); +#1875=EDGE_CURVE('',#1514,#1513,#627,.T.); +#1876=EDGE_CURVE('',#1510,#1514,#628,.T.); +#1877=EDGE_CURVE('',#1510,#1484,#629,.T.); +#1878=EDGE_CURVE('',#1471,#1514,#630,.T.); +#1879=EDGE_CURVE('',#1470,#1485,#631,.T.); +#1880=EDGE_CURVE('',#1487,#1509,#632,.T.); +#1881=EDGE_CURVE('',#1469,#1486,#633,.T.); +#1882=EDGE_CURVE('',#1511,#1468,#634,.T.); +#1883=EDGE_CURVE('',#1466,#1488,#635,.T.); +#1884=EDGE_CURVE('',#1513,#1515,#636,.T.); +#1885=EDGE_CURVE('',#1516,#1515,#637,.T.); +#1886=EDGE_CURVE('',#1472,#1516,#638,.T.); +#1887=EDGE_CURVE('',#1517,#1518,#639,.T.); +#1888=EDGE_CURVE('',#1519,#1517,#640,.T.); +#1889=EDGE_CURVE('',#1520,#1519,#641,.T.); +#1890=EDGE_CURVE('',#1518,#1520,#642,.T.); +#1891=EDGE_CURVE('',#1416,#1413,#643,.F.); +#1892=EDGE_CURVE('',#1521,#1522,#644,.T.); +#1893=EDGE_CURVE('',#1522,#1523,#645,.T.); +#1894=EDGE_CURVE('',#1523,#1524,#646,.T.); +#1895=EDGE_CURVE('',#1524,#1521,#647,.T.); +#1896=EDGE_CURVE('',#1525,#1526,#648,.T.); +#1897=EDGE_CURVE('',#1526,#1527,#649,.T.); +#1898=EDGE_CURVE('',#1527,#1528,#650,.T.); +#1899=EDGE_CURVE('',#1528,#1525,#651,.T.); +#1900=EDGE_CURVE('',#1529,#1530,#652,.T.); +#1901=EDGE_CURVE('',#1530,#1531,#653,.T.); +#1902=EDGE_CURVE('',#1531,#1532,#654,.T.); +#1903=EDGE_CURVE('',#1532,#1529,#655,.T.); +#1904=EDGE_CURVE('',#1533,#1534,#656,.T.); +#1905=EDGE_CURVE('',#1534,#1535,#657,.T.); +#1906=EDGE_CURVE('',#1535,#1536,#658,.T.); +#1907=EDGE_CURVE('',#1536,#1533,#659,.T.); +#1908=EDGE_CURVE('',#1537,#1538,#660,.T.); +#1909=EDGE_CURVE('',#1538,#1539,#661,.T.); +#1910=EDGE_CURVE('',#1540,#1539,#662,.T.); +#1911=EDGE_CURVE('',#1537,#1540,#663,.T.); +#1912=EDGE_CURVE('',#1538,#1505,#664,.T.); +#1913=EDGE_CURVE('',#1539,#1504,#665,.T.); +#1914=EDGE_CURVE('',#1540,#1503,#666,.T.); +#1915=EDGE_CURVE('',#1537,#1467,#667,.T.); +#1916=EDGE_CURVE('',#1502,#1541,#668,.T.); +#1917=EDGE_CURVE('',#1541,#1542,#669,.T.); +#1918=EDGE_CURVE('',#1542,#1512,#670,.T.); +#1919=EDGE_CURVE('',#1543,#1516,#671,.T.); +#1920=EDGE_CURVE('',#1473,#1543,#672,.T.); +#1921=EDGE_CURVE('',#1515,#1542,#673,.T.); +#1922=EDGE_CURVE('',#1543,#1544,#674,.T.); +#1923=EDGE_CURVE('',#1544,#1474,#675,.T.); +#1924=EDGE_CURVE('',#1534,#1519,#676,.T.); +#1925=EDGE_CURVE('',#1533,#1520,#677,.T.); +#1926=EDGE_CURVE('',#1535,#1517,#678,.T.); +#1927=EDGE_CURVE('',#1536,#1518,#679,.T.); +#1928=EDGE_CURVE('',#1545,#1546,#680,.T.); +#1929=EDGE_CURVE('',#1477,#1545,#681,.T.); +#1930=EDGE_CURVE('',#1476,#1546,#682,.T.); +#1931=EDGE_CURVE('',#1546,#1547,#683,.T.); +#1932=EDGE_CURVE('',#1475,#1547,#684,.T.); +#1933=EDGE_CURVE('',#1548,#1549,#685,.T.); +#1934=EDGE_CURVE('',#1550,#1548,#686,.T.); +#1935=EDGE_CURVE('',#1551,#1550,#687,.T.); +#1936=EDGE_CURVE('',#1549,#1551,#688,.T.); +#1937=EDGE_CURVE('',#1547,#1544,#689,.T.); +#1938=EDGE_CURVE('',#1545,#1552,#690,.T.); +#1939=EDGE_CURVE('',#1552,#1478,#691,.T.); +#1940=EDGE_CURVE('',#1530,#1550,#692,.T.); +#1941=EDGE_CURVE('',#1529,#1551,#693,.T.); +#1942=EDGE_CURVE('',#1531,#1548,#694,.T.); +#1943=EDGE_CURVE('',#1532,#1549,#695,.T.); +#1944=EDGE_CURVE('',#1553,#1554,#696,.T.); +#1945=EDGE_CURVE('',#1481,#1553,#697,.T.); +#1946=EDGE_CURVE('',#1480,#1554,#698,.T.); +#1947=EDGE_CURVE('',#1554,#1555,#699,.T.); +#1948=EDGE_CURVE('',#1479,#1555,#700,.T.); +#1949=EDGE_CURVE('',#1556,#1557,#701,.T.); +#1950=EDGE_CURVE('',#1558,#1556,#702,.T.); +#1951=EDGE_CURVE('',#1559,#1558,#703,.T.); +#1952=EDGE_CURVE('',#1557,#1559,#704,.T.); +#1953=EDGE_CURVE('',#1555,#1552,#705,.T.); +#1954=EDGE_CURVE('',#1553,#1560,#706,.T.); +#1955=EDGE_CURVE('',#1560,#1482,#707,.T.); +#1956=EDGE_CURVE('',#1526,#1558,#708,.T.); +#1957=EDGE_CURVE('',#1525,#1559,#709,.T.); +#1958=EDGE_CURVE('',#1527,#1556,#710,.T.); +#1959=EDGE_CURVE('',#1528,#1557,#711,.T.); +#1960=EDGE_CURVE('',#1561,#1560,#712,.T.); +#1961=EDGE_CURVE('',#1483,#1561,#713,.T.); +#1962=EDGE_CURVE('',#1562,#1561,#714,.T.); +#1963=EDGE_CURVE('',#1462,#1562,#715,.T.); +#1964=EDGE_CURVE('',#1563,#1564,#716,.T.); +#1965=EDGE_CURVE('',#1565,#1563,#717,.T.); +#1966=EDGE_CURVE('',#1566,#1565,#718,.T.); +#1967=EDGE_CURVE('',#1564,#1566,#719,.T.); +#1968=EDGE_CURVE('',#1491,#1562,#720,.T.); +#1969=EDGE_CURVE('',#1428,#1541,#721,.T.); +#1970=EDGE_CURVE('',#1427,#1492,#722,.T.); +#1971=EDGE_CURVE('',#1522,#1565,#723,.T.); +#1972=EDGE_CURVE('',#1521,#1566,#724,.T.); +#1973=EDGE_CURVE('',#1523,#1563,#725,.T.); +#1974=EDGE_CURVE('',#1524,#1564,#726,.T.); +#1975=EDGE_CURVE('',#1567,#1568,#727,.T.); +#1976=EDGE_CURVE('',#1569,#1567,#728,.T.); +#1977=EDGE_CURVE('',#1569,#1570,#729,.T.); +#1978=EDGE_CURVE('',#1570,#1568,#730,.T.); +#1979=EDGE_CURVE('',#1571,#1567,#731,.T.); +#1980=EDGE_CURVE('',#1572,#1571,#732,.T.); +#1981=EDGE_CURVE('',#1572,#1569,#733,.T.); +#1982=EDGE_CURVE('',#1573,#1571,#734,.T.); +#1983=EDGE_CURVE('',#1574,#1573,#735,.T.); +#1984=EDGE_CURVE('',#1574,#1572,#736,.T.); +#1985=EDGE_CURVE('',#1568,#1573,#737,.T.); +#1986=EDGE_CURVE('',#1570,#1574,#738,.T.); +#1987=EDGE_CURVE('',#1575,#1576,#739,.T.); +#1988=EDGE_CURVE('',#1577,#1576,#740,.T.); +#1989=EDGE_CURVE('',#1578,#1577,#741,.T.); +#1990=EDGE_CURVE('',#1578,#1575,#742,.T.); +#1991=EDGE_CURVE('',#1579,#1575,#743,.T.); +#1992=EDGE_CURVE('',#1580,#1578,#744,.T.); +#1993=EDGE_CURVE('',#1580,#1579,#745,.T.); +#1994=EDGE_CURVE('',#1581,#1579,#746,.T.); +#1995=EDGE_CURVE('',#1582,#1580,#747,.T.); +#1996=EDGE_CURVE('',#1582,#1581,#748,.T.); +#1997=EDGE_CURVE('',#1576,#1581,#749,.T.); +#1998=EDGE_CURVE('',#1577,#1582,#750,.T.); +#1999=EDGE_CURVE('',#1583,#1584,#751,.T.); +#2000=EDGE_CURVE('',#1584,#1585,#752,.T.); +#2001=EDGE_CURVE('',#1585,#1586,#753,.T.); +#2002=EDGE_CURVE('',#1583,#1586,#754,.T.); +#2003=EDGE_CURVE('',#1584,#1587,#755,.T.); +#2004=EDGE_CURVE('',#1587,#1588,#756,.T.); +#2005=EDGE_CURVE('',#1588,#1585,#757,.T.); +#2006=EDGE_CURVE('',#1587,#1589,#758,.T.); +#2007=EDGE_CURVE('',#1589,#1590,#759,.T.); +#2008=EDGE_CURVE('',#1590,#1588,#760,.T.); +#2009=EDGE_CURVE('',#1586,#1590,#761,.T.); +#2010=EDGE_CURVE('',#1589,#1583,#762,.T.); +#2011=EDGE_CURVE('',#1591,#1586,#763,.T.); +#2012=EDGE_CURVE('',#1591,#1592,#764,.T.); +#2013=EDGE_CURVE('',#1592,#1590,#765,.T.); +#2014=EDGE_CURVE('',#1593,#1585,#766,.T.); +#2015=EDGE_CURVE('',#1594,#1593,#29,.T.); +#2016=EDGE_CURVE('',#1595,#1594,#767,.T.); +#2017=EDGE_CURVE('',#1596,#1595,#30,.T.); +#2018=EDGE_CURVE('',#1597,#1596,#768,.T.); +#2019=EDGE_CURVE('',#1598,#1597,#769,.T.); +#2020=EDGE_CURVE('',#1598,#1599,#770,.T.); +#2021=EDGE_CURVE('',#1599,#1600,#31,.T.); +#2022=EDGE_CURVE('',#1600,#1601,#771,.T.); +#2023=EDGE_CURVE('',#1601,#1591,#32,.T.); +#2024=EDGE_CURVE('',#1602,#1603,#772,.T.); +#2025=EDGE_CURVE('',#1603,#1604,#773,.T.); +#2026=EDGE_CURVE('',#1604,#1605,#774,.T.); +#2027=EDGE_CURVE('',#1602,#1605,#775,.T.); +#2028=EDGE_CURVE('',#1603,#1598,#776,.T.); +#2029=EDGE_CURVE('',#1598,#1606,#777,.T.); +#2030=EDGE_CURVE('',#1606,#1604,#778,.T.); +#2031=EDGE_CURVE('',#1605,#1607,#779,.T.); +#2032=EDGE_CURVE('',#1607,#1597,#780,.T.); +#2033=EDGE_CURVE('',#1597,#1602,#781,.T.); +#2034=EDGE_CURVE('',#1607,#1606,#782,.T.); +#2035=EDGE_CURVE('',#1603,#1608,#783,.T.); +#2036=EDGE_CURVE('',#1599,#1608,#784,.T.); +#2037=EDGE_CURVE('',#1609,#1592,#33,.T.); +#2038=EDGE_CURVE('',#1610,#1609,#785,.T.); +#2039=EDGE_CURVE('',#1608,#1610,#34,.T.); +#2040=EDGE_CURVE('',#1602,#1611,#786,.T.); +#2041=EDGE_CURVE('',#1611,#1612,#35,.T.); +#2042=EDGE_CURVE('',#1612,#1613,#787,.T.); +#2043=EDGE_CURVE('',#1613,#1614,#36,.T.); +#2044=EDGE_CURVE('',#1614,#1588,#788,.T.); +#2045=EDGE_CURVE('',#1614,#1593,#789,.T.); +#2046=EDGE_CURVE('',#1601,#1609,#790,.T.); +#2047=EDGE_CURVE('',#1613,#1594,#791,.T.); +#2048=EDGE_CURVE('',#1600,#1610,#792,.T.); +#2049=EDGE_CURVE('',#1612,#1595,#793,.T.); +#2050=EDGE_CURVE('',#1611,#1596,#794,.T.); +#2051=EDGE_CURVE('',#1615,#1616,#795,.T.); +#2052=EDGE_CURVE('',#1616,#1617,#796,.T.); +#2053=EDGE_CURVE('',#1617,#1618,#797,.T.); +#2054=EDGE_CURVE('',#1615,#1618,#798,.T.); +#2055=EDGE_CURVE('',#1616,#1619,#799,.T.); +#2056=EDGE_CURVE('',#1619,#1620,#800,.T.); +#2057=EDGE_CURVE('',#1620,#1617,#801,.T.); +#2058=EDGE_CURVE('',#1619,#1621,#802,.T.); +#2059=EDGE_CURVE('',#1621,#1622,#803,.T.); +#2060=EDGE_CURVE('',#1622,#1620,#804,.T.); +#2061=EDGE_CURVE('',#1618,#1622,#805,.T.); +#2062=EDGE_CURVE('',#1621,#1615,#806,.T.); +#2063=EDGE_CURVE('',#1623,#1618,#807,.T.); +#2064=EDGE_CURVE('',#1623,#1624,#808,.T.); +#2065=EDGE_CURVE('',#1624,#1622,#809,.T.); +#2066=EDGE_CURVE('',#1625,#1617,#810,.T.); +#2067=EDGE_CURVE('',#1626,#1625,#37,.T.); +#2068=EDGE_CURVE('',#1627,#1626,#811,.T.); +#2069=EDGE_CURVE('',#1628,#1627,#38,.T.); +#2070=EDGE_CURVE('',#1629,#1628,#812,.T.); +#2071=EDGE_CURVE('',#1630,#1629,#813,.T.); +#2072=EDGE_CURVE('',#1630,#1631,#814,.T.); +#2073=EDGE_CURVE('',#1631,#1632,#39,.T.); +#2074=EDGE_CURVE('',#1632,#1633,#815,.T.); +#2075=EDGE_CURVE('',#1633,#1623,#40,.T.); +#2076=EDGE_CURVE('',#1634,#1635,#816,.T.); +#2077=EDGE_CURVE('',#1635,#1636,#817,.T.); +#2078=EDGE_CURVE('',#1636,#1637,#818,.T.); +#2079=EDGE_CURVE('',#1634,#1637,#819,.T.); +#2080=EDGE_CURVE('',#1635,#1630,#820,.T.); +#2081=EDGE_CURVE('',#1630,#1638,#821,.T.); +#2082=EDGE_CURVE('',#1638,#1636,#822,.T.); +#2083=EDGE_CURVE('',#1637,#1639,#823,.T.); +#2084=EDGE_CURVE('',#1639,#1629,#824,.T.); +#2085=EDGE_CURVE('',#1629,#1634,#825,.T.); +#2086=EDGE_CURVE('',#1639,#1638,#826,.T.); +#2087=EDGE_CURVE('',#1635,#1640,#827,.T.); +#2088=EDGE_CURVE('',#1631,#1640,#828,.T.); +#2089=EDGE_CURVE('',#1641,#1624,#41,.T.); +#2090=EDGE_CURVE('',#1642,#1641,#829,.T.); +#2091=EDGE_CURVE('',#1640,#1642,#42,.T.); +#2092=EDGE_CURVE('',#1634,#1643,#830,.T.); +#2093=EDGE_CURVE('',#1643,#1644,#43,.T.); +#2094=EDGE_CURVE('',#1644,#1645,#831,.T.); +#2095=EDGE_CURVE('',#1645,#1646,#44,.T.); +#2096=EDGE_CURVE('',#1646,#1620,#832,.T.); +#2097=EDGE_CURVE('',#1646,#1625,#833,.T.); +#2098=EDGE_CURVE('',#1633,#1641,#834,.T.); +#2099=EDGE_CURVE('',#1645,#1626,#835,.T.); +#2100=EDGE_CURVE('',#1632,#1642,#836,.T.); +#2101=EDGE_CURVE('',#1644,#1627,#837,.T.); +#2102=EDGE_CURVE('',#1643,#1628,#838,.T.); +#2103=EDGE_CURVE('',#1647,#1648,#839,.T.); +#2104=EDGE_CURVE('',#1648,#1649,#840,.T.); +#2105=EDGE_CURVE('',#1649,#1650,#841,.T.); +#2106=EDGE_CURVE('',#1647,#1650,#842,.T.); +#2107=EDGE_CURVE('',#1648,#1651,#843,.T.); +#2108=EDGE_CURVE('',#1651,#1652,#844,.T.); +#2109=EDGE_CURVE('',#1652,#1649,#845,.T.); +#2110=EDGE_CURVE('',#1651,#1653,#846,.T.); +#2111=EDGE_CURVE('',#1653,#1654,#847,.T.); +#2112=EDGE_CURVE('',#1654,#1652,#848,.T.); +#2113=EDGE_CURVE('',#1650,#1654,#849,.T.); +#2114=EDGE_CURVE('',#1653,#1647,#850,.T.); +#2115=EDGE_CURVE('',#1655,#1650,#851,.T.); +#2116=EDGE_CURVE('',#1655,#1656,#852,.T.); +#2117=EDGE_CURVE('',#1656,#1654,#853,.T.); +#2118=EDGE_CURVE('',#1657,#1649,#854,.T.); +#2119=EDGE_CURVE('',#1658,#1657,#45,.T.); +#2120=EDGE_CURVE('',#1659,#1658,#855,.T.); +#2121=EDGE_CURVE('',#1660,#1659,#46,.T.); +#2122=EDGE_CURVE('',#1661,#1660,#856,.T.); +#2123=EDGE_CURVE('',#1662,#1661,#857,.T.); +#2124=EDGE_CURVE('',#1662,#1663,#858,.T.); +#2125=EDGE_CURVE('',#1663,#1664,#47,.T.); +#2126=EDGE_CURVE('',#1664,#1665,#859,.T.); +#2127=EDGE_CURVE('',#1665,#1655,#48,.T.); +#2128=EDGE_CURVE('',#1666,#1667,#860,.T.); +#2129=EDGE_CURVE('',#1667,#1668,#861,.T.); +#2130=EDGE_CURVE('',#1668,#1669,#862,.T.); +#2131=EDGE_CURVE('',#1666,#1669,#863,.T.); +#2132=EDGE_CURVE('',#1667,#1662,#864,.T.); +#2133=EDGE_CURVE('',#1662,#1670,#865,.T.); +#2134=EDGE_CURVE('',#1670,#1668,#866,.T.); +#2135=EDGE_CURVE('',#1669,#1671,#867,.T.); +#2136=EDGE_CURVE('',#1671,#1661,#868,.T.); +#2137=EDGE_CURVE('',#1661,#1666,#869,.T.); +#2138=EDGE_CURVE('',#1671,#1670,#870,.T.); +#2139=EDGE_CURVE('',#1667,#1672,#871,.T.); +#2140=EDGE_CURVE('',#1663,#1672,#872,.T.); +#2141=EDGE_CURVE('',#1673,#1656,#49,.T.); +#2142=EDGE_CURVE('',#1674,#1673,#873,.T.); +#2143=EDGE_CURVE('',#1672,#1674,#50,.T.); +#2144=EDGE_CURVE('',#1666,#1675,#874,.T.); +#2145=EDGE_CURVE('',#1675,#1676,#51,.T.); +#2146=EDGE_CURVE('',#1676,#1677,#875,.T.); +#2147=EDGE_CURVE('',#1677,#1678,#52,.T.); +#2148=EDGE_CURVE('',#1678,#1652,#876,.T.); +#2149=EDGE_CURVE('',#1678,#1657,#877,.T.); +#2150=EDGE_CURVE('',#1665,#1673,#878,.T.); +#2151=EDGE_CURVE('',#1677,#1658,#879,.T.); +#2152=EDGE_CURVE('',#1664,#1674,#880,.T.); +#2153=EDGE_CURVE('',#1676,#1659,#881,.T.); +#2154=EDGE_CURVE('',#1675,#1660,#882,.T.); +#2155=EDGE_CURVE('',#1679,#1680,#883,.T.); +#2156=EDGE_CURVE('',#1680,#1681,#884,.T.); +#2157=EDGE_CURVE('',#1681,#1682,#885,.T.); +#2158=EDGE_CURVE('',#1679,#1682,#886,.T.); +#2159=EDGE_CURVE('',#1680,#1683,#887,.T.); +#2160=EDGE_CURVE('',#1683,#1684,#888,.T.); +#2161=EDGE_CURVE('',#1684,#1681,#889,.T.); +#2162=EDGE_CURVE('',#1683,#1685,#890,.T.); +#2163=EDGE_CURVE('',#1685,#1686,#891,.T.); +#2164=EDGE_CURVE('',#1686,#1684,#892,.T.); +#2165=EDGE_CURVE('',#1682,#1686,#893,.T.); +#2166=EDGE_CURVE('',#1685,#1679,#894,.T.); +#2167=EDGE_CURVE('',#1687,#1682,#895,.T.); +#2168=EDGE_CURVE('',#1687,#1688,#896,.T.); +#2169=EDGE_CURVE('',#1688,#1686,#897,.T.); +#2170=EDGE_CURVE('',#1689,#1681,#898,.T.); +#2171=EDGE_CURVE('',#1690,#1689,#53,.T.); +#2172=EDGE_CURVE('',#1691,#1690,#899,.T.); +#2173=EDGE_CURVE('',#1692,#1691,#54,.T.); +#2174=EDGE_CURVE('',#1693,#1692,#900,.T.); +#2175=EDGE_CURVE('',#1694,#1693,#901,.T.); +#2176=EDGE_CURVE('',#1694,#1695,#902,.T.); +#2177=EDGE_CURVE('',#1695,#1696,#55,.T.); +#2178=EDGE_CURVE('',#1696,#1697,#903,.T.); +#2179=EDGE_CURVE('',#1697,#1687,#56,.T.); +#2180=EDGE_CURVE('',#1698,#1699,#904,.T.); +#2181=EDGE_CURVE('',#1699,#1700,#905,.T.); +#2182=EDGE_CURVE('',#1700,#1701,#906,.T.); +#2183=EDGE_CURVE('',#1698,#1701,#907,.T.); +#2184=EDGE_CURVE('',#1699,#1694,#908,.T.); +#2185=EDGE_CURVE('',#1694,#1702,#909,.T.); +#2186=EDGE_CURVE('',#1702,#1700,#910,.T.); +#2187=EDGE_CURVE('',#1701,#1703,#911,.T.); +#2188=EDGE_CURVE('',#1703,#1693,#912,.T.); +#2189=EDGE_CURVE('',#1693,#1698,#913,.T.); +#2190=EDGE_CURVE('',#1703,#1702,#914,.T.); +#2191=EDGE_CURVE('',#1699,#1704,#915,.T.); +#2192=EDGE_CURVE('',#1695,#1704,#916,.T.); +#2193=EDGE_CURVE('',#1705,#1688,#57,.T.); +#2194=EDGE_CURVE('',#1706,#1705,#917,.T.); +#2195=EDGE_CURVE('',#1704,#1706,#58,.T.); +#2196=EDGE_CURVE('',#1698,#1707,#918,.T.); +#2197=EDGE_CURVE('',#1707,#1708,#59,.T.); +#2198=EDGE_CURVE('',#1708,#1709,#919,.T.); +#2199=EDGE_CURVE('',#1709,#1710,#60,.T.); +#2200=EDGE_CURVE('',#1710,#1684,#920,.T.); +#2201=EDGE_CURVE('',#1710,#1689,#921,.T.); +#2202=EDGE_CURVE('',#1697,#1705,#922,.T.); +#2203=EDGE_CURVE('',#1709,#1690,#923,.T.); +#2204=EDGE_CURVE('',#1696,#1706,#924,.T.); +#2205=EDGE_CURVE('',#1708,#1691,#925,.T.); +#2206=EDGE_CURVE('',#1707,#1692,#926,.T.); +#2207=ORIENTED_EDGE('',*,*,#1711,.F.); +#2208=ORIENTED_EDGE('',*,*,#1712,.T.); +#2209=ORIENTED_EDGE('',*,*,#1713,.F.); +#2210=ORIENTED_EDGE('',*,*,#1714,.F.); +#2211=ORIENTED_EDGE('',*,*,#1715,.F.); +#2212=ORIENTED_EDGE('',*,*,#1716,.F.); +#2213=ORIENTED_EDGE('',*,*,#1717,.F.); +#2214=ORIENTED_EDGE('',*,*,#1712,.F.); +#2215=ORIENTED_EDGE('',*,*,#1718,.F.); +#2216=ORIENTED_EDGE('',*,*,#1719,.F.); +#2217=ORIENTED_EDGE('',*,*,#1720,.F.); +#2218=ORIENTED_EDGE('',*,*,#1721,.F.); +#2219=ORIENTED_EDGE('',*,*,#1722,.F.); +#2220=ORIENTED_EDGE('',*,*,#1714,.T.); +#2221=ORIENTED_EDGE('',*,*,#1723,.F.); +#2222=ORIENTED_EDGE('',*,*,#1724,.T.); +#2223=ORIENTED_EDGE('',*,*,#1725,.F.); +#2224=ORIENTED_EDGE('',*,*,#1726,.F.); +#2225=ORIENTED_EDGE('',*,*,#1727,.F.); +#2226=ORIENTED_EDGE('',*,*,#1728,.F.); +#2227=ORIENTED_EDGE('',*,*,#1729,.T.); +#2228=ORIENTED_EDGE('',*,*,#1730,.F.); +#2229=ORIENTED_EDGE('',*,*,#1731,.F.); +#2230=ORIENTED_EDGE('',*,*,#1732,.T.); +#2231=ORIENTED_EDGE('',*,*,#1733,.F.); +#2232=ORIENTED_EDGE('',*,*,#1729,.F.); +#2233=ORIENTED_EDGE('',*,*,#1734,.F.); +#2234=ORIENTED_EDGE('',*,*,#1719,.T.); +#2235=ORIENTED_EDGE('',*,*,#1730,.T.); +#2236=ORIENTED_EDGE('',*,*,#1733,.T.); +#2237=ORIENTED_EDGE('',*,*,#1718,.T.); +#2238=ORIENTED_EDGE('',*,*,#1735,.F.); +#2239=ORIENTED_EDGE('',*,*,#1736,.T.); +#2240=ORIENTED_EDGE('',*,*,#1737,.F.); +#2241=ORIENTED_EDGE('',*,*,#1738,.F.); +#2242=ORIENTED_EDGE('',*,*,#1739,.F.); +#2243=ORIENTED_EDGE('',*,*,#1715,.T.); +#2244=ORIENTED_EDGE('',*,*,#1711,.T.); +#2245=ORIENTED_EDGE('',*,*,#1722,.T.); +#2246=ORIENTED_EDGE('',*,*,#1740,.F.); +#2247=ORIENTED_EDGE('',*,*,#1741,.T.); +#2248=ORIENTED_EDGE('',*,*,#1742,.F.); +#2249=ORIENTED_EDGE('',*,*,#1743,.F.); +#2250=ORIENTED_EDGE('',*,*,#1744,.F.); +#2251=ORIENTED_EDGE('',*,*,#1716,.T.); +#2252=ORIENTED_EDGE('',*,*,#1744,.T.); +#2253=ORIENTED_EDGE('',*,*,#1745,.T.); +#2254=ORIENTED_EDGE('',*,*,#1746,.T.); +#2255=ORIENTED_EDGE('',*,*,#1747,.F.); +#2256=ORIENTED_EDGE('',*,*,#1748,.F.); +#2257=ORIENTED_EDGE('',*,*,#1721,.T.); +#2258=ORIENTED_EDGE('',*,*,#1749,.F.); +#2259=ORIENTED_EDGE('',*,*,#1750,.F.); +#2260=ORIENTED_EDGE('',*,*,#1751,.T.); +#2261=ORIENTED_EDGE('',*,*,#1752,.T.); +#2262=ORIENTED_EDGE('',*,*,#1735,.T.); +#2263=ORIENTED_EDGE('',*,*,#1731,.T.); +#2264=ORIENTED_EDGE('',*,*,#1739,.T.); +#2265=ORIENTED_EDGE('',*,*,#1753,.T.); +#2266=ORIENTED_EDGE('',*,*,#1740,.T.); +#2267=ORIENTED_EDGE('',*,*,#1726,.T.); +#2268=ORIENTED_EDGE('',*,*,#1754,.T.); +#2269=ORIENTED_EDGE('',*,*,#1755,.T.); +#2270=ORIENTED_EDGE('',*,*,#1756,.T.); +#2271=ORIENTED_EDGE('',*,*,#1757,.T.); +#2272=ORIENTED_EDGE('',*,*,#1758,.T.); +#2273=ORIENTED_EDGE('',*,*,#1759,.T.); +#2274=ORIENTED_EDGE('',*,*,#1760,.T.); +#2275=ORIENTED_EDGE('',*,*,#1761,.F.); +#2276=ORIENTED_EDGE('',*,*,#1762,.F.); +#2277=ORIENTED_EDGE('',*,*,#1763,.T.); +#2278=ORIENTED_EDGE('',*,*,#1764,.T.); +#2279=ORIENTED_EDGE('',*,*,#1765,.F.); +#2280=ORIENTED_EDGE('',*,*,#1766,.F.); +#2281=ORIENTED_EDGE('',*,*,#1767,.T.); +#2282=ORIENTED_EDGE('',*,*,#1768,.T.); +#2283=ORIENTED_EDGE('',*,*,#1763,.F.); +#2284=ORIENTED_EDGE('',*,*,#1769,.F.); +#2285=ORIENTED_EDGE('',*,*,#1770,.F.); +#2286=ORIENTED_EDGE('',*,*,#1764,.F.); +#2287=ORIENTED_EDGE('',*,*,#1768,.F.); +#2288=ORIENTED_EDGE('',*,*,#1771,.T.); +#2289=ORIENTED_EDGE('',*,*,#1772,.T.); +#2290=ORIENTED_EDGE('',*,*,#1769,.T.); +#2291=ORIENTED_EDGE('',*,*,#1762,.T.); +#2292=ORIENTED_EDGE('',*,*,#1773,.T.); +#2293=ORIENTED_EDGE('',*,*,#1774,.F.); +#2294=ORIENTED_EDGE('',*,*,#1775,.T.); +#2295=ORIENTED_EDGE('',*,*,#1776,.T.); +#2296=ORIENTED_EDGE('',*,*,#1777,.F.); +#2297=ORIENTED_EDGE('',*,*,#1778,.T.); +#2298=ORIENTED_EDGE('',*,*,#1755,.F.); +#2299=ORIENTED_EDGE('',*,*,#1761,.T.); +#2300=ORIENTED_EDGE('',*,*,#1779,.F.); +#2301=ORIENTED_EDGE('',*,*,#1780,.F.); +#2302=ORIENTED_EDGE('',*,*,#1773,.F.); +#2303=ORIENTED_EDGE('',*,*,#1766,.T.); +#2304=ORIENTED_EDGE('',*,*,#1781,.F.); +#2305=ORIENTED_EDGE('',*,*,#1782,.F.); +#2306=ORIENTED_EDGE('',*,*,#1779,.T.); +#2307=ORIENTED_EDGE('',*,*,#1765,.T.); +#2308=ORIENTED_EDGE('',*,*,#1770,.T.); +#2309=ORIENTED_EDGE('',*,*,#1783,.F.); +#2310=ORIENTED_EDGE('',*,*,#1724,.F.); +#2311=ORIENTED_EDGE('',*,*,#1784,.F.); +#2312=ORIENTED_EDGE('',*,*,#1781,.T.); +#2313=ORIENTED_EDGE('',*,*,#1754,.F.); +#2314=ORIENTED_EDGE('',*,*,#1725,.T.); +#2315=ORIENTED_EDGE('',*,*,#1783,.T.); +#2316=ORIENTED_EDGE('',*,*,#1771,.F.); +#2317=ORIENTED_EDGE('',*,*,#1767,.F.); +#2318=ORIENTED_EDGE('',*,*,#1772,.F.); +#2319=ORIENTED_EDGE('',*,*,#1717,.T.); +#2320=ORIENTED_EDGE('',*,*,#1748,.T.); +#2321=ORIENTED_EDGE('',*,*,#1785,.T.); +#2322=ORIENTED_EDGE('',*,*,#1786,.T.); +#2323=ORIENTED_EDGE('',*,*,#1787,.T.); +#2324=ORIENTED_EDGE('',*,*,#1788,.T.); +#2325=ORIENTED_EDGE('',*,*,#1774,.T.); +#2326=ORIENTED_EDGE('',*,*,#1780,.T.); +#2327=ORIENTED_EDGE('',*,*,#1782,.T.); +#2328=ORIENTED_EDGE('',*,*,#1784,.T.); +#2329=ORIENTED_EDGE('',*,*,#1723,.T.); +#2330=ORIENTED_EDGE('',*,*,#1713,.T.); +#2331=ORIENTED_EDGE('',*,*,#1789,.F.); +#2332=ORIENTED_EDGE('',*,*,#1790,.F.); +#2333=ORIENTED_EDGE('',*,*,#1791,.F.); +#2334=ORIENTED_EDGE('',*,*,#1792,.T.); +#2335=ORIENTED_EDGE('',*,*,#1793,.F.); +#2336=ORIENTED_EDGE('',*,*,#1792,.F.); +#2337=ORIENTED_EDGE('',*,*,#1794,.F.); +#2338=ORIENTED_EDGE('',*,*,#1795,.F.); +#2339=ORIENTED_EDGE('',*,*,#1796,.F.); +#2340=ORIENTED_EDGE('',*,*,#1797,.F.); +#2341=ORIENTED_EDGE('',*,*,#1798,.F.); +#2342=ORIENTED_EDGE('',*,*,#1799,.F.); +#2343=ORIENTED_EDGE('',*,*,#1800,.F.); +#2344=ORIENTED_EDGE('',*,*,#1801,.F.); +#2345=ORIENTED_EDGE('',*,*,#1802,.F.); +#2346=ORIENTED_EDGE('',*,*,#1790,.T.); +#2347=ORIENTED_EDGE('',*,*,#1803,.F.); +#2348=ORIENTED_EDGE('',*,*,#1796,.T.); +#2349=ORIENTED_EDGE('',*,*,#1804,.F.); +#2350=ORIENTED_EDGE('',*,*,#1805,.F.); +#2351=ORIENTED_EDGE('',*,*,#1806,.F.); +#2352=ORIENTED_EDGE('',*,*,#1800,.T.); +#2353=ORIENTED_EDGE('',*,*,#1807,.F.); +#2354=ORIENTED_EDGE('',*,*,#1808,.F.); +#2355=ORIENTED_EDGE('',*,*,#1809,.F.); +#2356=ORIENTED_EDGE('',*,*,#1746,.F.); +#2357=ORIENTED_EDGE('',*,*,#1794,.T.); +#2358=ORIENTED_EDGE('',*,*,#1791,.T.); +#2359=ORIENTED_EDGE('',*,*,#1802,.T.); +#2360=ORIENTED_EDGE('',*,*,#1810,.F.); +#2361=ORIENTED_EDGE('',*,*,#1785,.F.); +#2362=ORIENTED_EDGE('',*,*,#1747,.T.); +#2363=ORIENTED_EDGE('',*,*,#1809,.T.); +#2364=ORIENTED_EDGE('',*,*,#1811,.T.); +#2365=ORIENTED_EDGE('',*,*,#1812,.T.); +#2366=ORIENTED_EDGE('',*,*,#1750,.T.); +#2367=ORIENTED_EDGE('',*,*,#1813,.F.); +#2368=ORIENTED_EDGE('',*,*,#1814,.F.); +#2369=ORIENTED_EDGE('',*,*,#1815,.T.); +#2370=ORIENTED_EDGE('',*,*,#1816,.T.); +#2371=ORIENTED_EDGE('',*,*,#1817,.T.); +#2372=ORIENTED_EDGE('',*,*,#1818,.T.); +#2373=ORIENTED_EDGE('',*,*,#1819,.T.); +#2374=ORIENTED_EDGE('',*,*,#1820,.F.); +#2375=ORIENTED_EDGE('',*,*,#1821,.T.); +#2376=ORIENTED_EDGE('',*,*,#1822,.T.); +#2377=ORIENTED_EDGE('',*,*,#1823,.T.); +#2378=ORIENTED_EDGE('',*,*,#1824,.F.); +#2379=ORIENTED_EDGE('',*,*,#1825,.T.); +#2380=ORIENTED_EDGE('',*,*,#1826,.T.); +#2381=ORIENTED_EDGE('',*,*,#1827,.T.); +#2382=ORIENTED_EDGE('',*,*,#1828,.F.); +#2383=ORIENTED_EDGE('',*,*,#1829,.T.); +#2384=ORIENTED_EDGE('',*,*,#1830,.T.); +#2385=ORIENTED_EDGE('',*,*,#1798,.T.); +#2386=ORIENTED_EDGE('',*,*,#1789,.T.); +#2387=ORIENTED_EDGE('',*,*,#1793,.T.); +#2388=ORIENTED_EDGE('',*,*,#1831,.F.); +#2389=ORIENTED_EDGE('',*,*,#1832,.T.); +#2390=ORIENTED_EDGE('',*,*,#1833,.T.); +#2391=ORIENTED_EDGE('',*,*,#1834,.T.); +#2392=ORIENTED_EDGE('',*,*,#1835,.F.); +#2393=ORIENTED_EDGE('',*,*,#1736,.F.); +#2394=ORIENTED_EDGE('',*,*,#1752,.F.); +#2395=ORIENTED_EDGE('',*,*,#1836,.T.); +#2396=ORIENTED_EDGE('',*,*,#1837,.T.); +#2397=ORIENTED_EDGE('',*,*,#1807,.T.); +#2398=ORIENTED_EDGE('',*,*,#1745,.F.); +#2399=ORIENTED_EDGE('',*,*,#1743,.T.); +#2400=ORIENTED_EDGE('',*,*,#1838,.F.); +#2401=ORIENTED_EDGE('',*,*,#1787,.F.); +#2402=ORIENTED_EDGE('',*,*,#1839,.F.); +#2403=ORIENTED_EDGE('',*,*,#1840,.T.); +#2404=ORIENTED_EDGE('',*,*,#1841,.F.); +#2405=ORIENTED_EDGE('',*,*,#1788,.F.); +#2406=ORIENTED_EDGE('',*,*,#1841,.T.); +#2407=ORIENTED_EDGE('',*,*,#1842,.T.); +#2408=ORIENTED_EDGE('',*,*,#1775,.F.); +#2409=ORIENTED_EDGE('',*,*,#1842,.F.); +#2410=ORIENTED_EDGE('',*,*,#1840,.F.); +#2411=ORIENTED_EDGE('',*,*,#1843,.F.); +#2412=ORIENTED_EDGE('',*,*,#1776,.F.); +#2413=ORIENTED_EDGE('',*,*,#1806,.T.); +#2414=ORIENTED_EDGE('',*,*,#1844,.T.); +#2415=ORIENTED_EDGE('',*,*,#1845,.T.); +#2416=ORIENTED_EDGE('',*,*,#1846,.T.); +#2417=ORIENTED_EDGE('',*,*,#1777,.T.); +#2418=ORIENTED_EDGE('',*,*,#1843,.T.); +#2419=ORIENTED_EDGE('',*,*,#1839,.T.); +#2420=ORIENTED_EDGE('',*,*,#1786,.F.); +#2421=ORIENTED_EDGE('',*,*,#1810,.T.); +#2422=ORIENTED_EDGE('',*,*,#1801,.T.); +#2423=ORIENTED_EDGE('',*,*,#1847,.F.); +#2424=ORIENTED_EDGE('',*,*,#1848,.F.); +#2425=ORIENTED_EDGE('',*,*,#1849,.T.); +#2426=ORIENTED_EDGE('',*,*,#1850,.F.); +#2427=ORIENTED_EDGE('',*,*,#1851,.F.); +#2428=ORIENTED_EDGE('',*,*,#1852,.F.); +#2429=ORIENTED_EDGE('',*,*,#1850,.T.); +#2430=ORIENTED_EDGE('',*,*,#1853,.T.); +#2431=ORIENTED_EDGE('',*,*,#1854,.F.); +#2432=ORIENTED_EDGE('',*,*,#1855,.F.); +#2433=ORIENTED_EDGE('',*,*,#1856,.F.); +#2434=ORIENTED_EDGE('',*,*,#1853,.F.); +#2435=ORIENTED_EDGE('',*,*,#1849,.F.); +#2436=ORIENTED_EDGE('',*,*,#1857,.F.); +#2437=ORIENTED_EDGE('',*,*,#1857,.T.); +#2438=ORIENTED_EDGE('',*,*,#1848,.T.); +#2439=ORIENTED_EDGE('',*,*,#1858,.T.); +#2440=ORIENTED_EDGE('',*,*,#1759,.F.); +#2441=ORIENTED_EDGE('',*,*,#1859,.T.); +#2442=ORIENTED_EDGE('',*,*,#1860,.F.); +#2443=ORIENTED_EDGE('',*,*,#1861,.F.); +#2444=ORIENTED_EDGE('',*,*,#1862,.F.); +#2445=ORIENTED_EDGE('',*,*,#1863,.F.); +#2446=ORIENTED_EDGE('',*,*,#1864,.T.); +#2447=ORIENTED_EDGE('',*,*,#1865,.F.); +#2448=ORIENTED_EDGE('',*,*,#1732,.F.); +#2449=ORIENTED_EDGE('',*,*,#1760,.F.); +#2450=ORIENTED_EDGE('',*,*,#1858,.F.); +#2451=ORIENTED_EDGE('',*,*,#1847,.T.); +#2452=ORIENTED_EDGE('',*,*,#1852,.T.); +#2453=ORIENTED_EDGE('',*,*,#1854,.T.); +#2454=ORIENTED_EDGE('',*,*,#1864,.F.); +#2455=ORIENTED_EDGE('',*,*,#1866,.F.); +#2456=ORIENTED_EDGE('',*,*,#1867,.T.); +#2457=ORIENTED_EDGE('',*,*,#1855,.T.); +#2458=ORIENTED_EDGE('',*,*,#1867,.F.); +#2459=ORIENTED_EDGE('',*,*,#1868,.F.); +#2460=ORIENTED_EDGE('',*,*,#1869,.T.); +#2461=ORIENTED_EDGE('',*,*,#1856,.T.); +#2462=ORIENTED_EDGE('',*,*,#1869,.F.); +#2463=ORIENTED_EDGE('',*,*,#1870,.F.); +#2464=ORIENTED_EDGE('',*,*,#1727,.T.); +#2465=ORIENTED_EDGE('',*,*,#1865,.T.); +#2466=ORIENTED_EDGE('',*,*,#1851,.T.); +#2467=ORIENTED_EDGE('',*,*,#1871,.F.); +#2468=ORIENTED_EDGE('',*,*,#1872,.F.); +#2469=ORIENTED_EDGE('',*,*,#1873,.F.); +#2470=ORIENTED_EDGE('',*,*,#1874,.F.); +#2471=ORIENTED_EDGE('',*,*,#1875,.F.); +#2472=ORIENTED_EDGE('',*,*,#1876,.F.); +#2473=ORIENTED_EDGE('',*,*,#1877,.F.); +#2474=ORIENTED_EDGE('',*,*,#1876,.T.); +#2475=ORIENTED_EDGE('',*,*,#1878,.F.); +#2476=ORIENTED_EDGE('',*,*,#1817,.F.); +#2477=ORIENTED_EDGE('',*,*,#1879,.T.); +#2478=ORIENTED_EDGE('',*,*,#1832,.F.); +#2479=ORIENTED_EDGE('',*,*,#1880,.F.); +#2480=ORIENTED_EDGE('',*,*,#1834,.F.); +#2481=ORIENTED_EDGE('',*,*,#1881,.F.); +#2482=ORIENTED_EDGE('',*,*,#1815,.F.); +#2483=ORIENTED_EDGE('',*,*,#1882,.F.); +#2484=ORIENTED_EDGE('',*,*,#1872,.T.); +#2485=ORIENTED_EDGE('',*,*,#1833,.F.); +#2486=ORIENTED_EDGE('',*,*,#1879,.F.); +#2487=ORIENTED_EDGE('',*,*,#1816,.F.); +#2488=ORIENTED_EDGE('',*,*,#1881,.T.); +#2489=ORIENTED_EDGE('',*,*,#1836,.F.); +#2490=ORIENTED_EDGE('',*,*,#1751,.F.); +#2491=ORIENTED_EDGE('',*,*,#1812,.F.); +#2492=ORIENTED_EDGE('',*,*,#1883,.T.); +#2493=ORIENTED_EDGE('',*,*,#1837,.F.); +#2494=ORIENTED_EDGE('',*,*,#1883,.F.); +#2495=ORIENTED_EDGE('',*,*,#1811,.F.); +#2496=ORIENTED_EDGE('',*,*,#1808,.T.); +#2497=ORIENTED_EDGE('',*,*,#1878,.T.); +#2498=ORIENTED_EDGE('',*,*,#1875,.T.); +#2499=ORIENTED_EDGE('',*,*,#1884,.T.); +#2500=ORIENTED_EDGE('',*,*,#1885,.F.); +#2501=ORIENTED_EDGE('',*,*,#1886,.F.); +#2502=ORIENTED_EDGE('',*,*,#1818,.F.); +#2503=ORIENTED_EDGE('',*,*,#1887,.F.); +#2504=ORIENTED_EDGE('',*,*,#1888,.F.); +#2505=ORIENTED_EDGE('',*,*,#1889,.F.); +#2506=ORIENTED_EDGE('',*,*,#1890,.F.); +#2507=ORIENTED_EDGE('',*,*,#1799,.T.); +#2508=ORIENTED_EDGE('',*,*,#1838,.T.); +#2509=ORIENTED_EDGE('',*,*,#1742,.T.); +#2510=ORIENTED_EDGE('',*,*,#1891,.T.); +#2511=ORIENTED_EDGE('',*,*,#1737,.T.); +#2512=ORIENTED_EDGE('',*,*,#1835,.T.); +#2513=ORIENTED_EDGE('',*,*,#1880,.T.); +#2514=ORIENTED_EDGE('',*,*,#1871,.T.); +#2515=ORIENTED_EDGE('',*,*,#1877,.T.); +#2516=ORIENTED_EDGE('',*,*,#1831,.T.); +#2517=ORIENTED_EDGE('',*,*,#1797,.T.); +#2518=ORIENTED_EDGE('',*,*,#1803,.T.); +#2519=ORIENTED_EDGE('',*,*,#1892,.T.); +#2520=ORIENTED_EDGE('',*,*,#1893,.T.); +#2521=ORIENTED_EDGE('',*,*,#1894,.T.); +#2522=ORIENTED_EDGE('',*,*,#1895,.T.); +#2523=ORIENTED_EDGE('',*,*,#1896,.T.); +#2524=ORIENTED_EDGE('',*,*,#1897,.T.); +#2525=ORIENTED_EDGE('',*,*,#1898,.T.); +#2526=ORIENTED_EDGE('',*,*,#1899,.T.); +#2527=ORIENTED_EDGE('',*,*,#1900,.T.); +#2528=ORIENTED_EDGE('',*,*,#1901,.T.); +#2529=ORIENTED_EDGE('',*,*,#1902,.T.); +#2530=ORIENTED_EDGE('',*,*,#1903,.T.); +#2531=ORIENTED_EDGE('',*,*,#1904,.T.); +#2532=ORIENTED_EDGE('',*,*,#1905,.T.); +#2533=ORIENTED_EDGE('',*,*,#1906,.T.); +#2534=ORIENTED_EDGE('',*,*,#1907,.T.); +#2535=ORIENTED_EDGE('',*,*,#1908,.T.); +#2536=ORIENTED_EDGE('',*,*,#1909,.T.); +#2537=ORIENTED_EDGE('',*,*,#1910,.F.); +#2538=ORIENTED_EDGE('',*,*,#1911,.F.); +#2539=ORIENTED_EDGE('',*,*,#1912,.T.); +#2540=ORIENTED_EDGE('',*,*,#1862,.T.); +#2541=ORIENTED_EDGE('',*,*,#1913,.F.); +#2542=ORIENTED_EDGE('',*,*,#1909,.F.); +#2543=ORIENTED_EDGE('',*,*,#1913,.T.); +#2544=ORIENTED_EDGE('',*,*,#1861,.T.); +#2545=ORIENTED_EDGE('',*,*,#1914,.F.); +#2546=ORIENTED_EDGE('',*,*,#1910,.T.); +#2547=ORIENTED_EDGE('',*,*,#1882,.T.); +#2548=ORIENTED_EDGE('',*,*,#1814,.T.); +#2549=ORIENTED_EDGE('',*,*,#1915,.F.); +#2550=ORIENTED_EDGE('',*,*,#1911,.T.); +#2551=ORIENTED_EDGE('',*,*,#1914,.T.); +#2552=ORIENTED_EDGE('',*,*,#1860,.T.); +#2553=ORIENTED_EDGE('',*,*,#1916,.T.); +#2554=ORIENTED_EDGE('',*,*,#1917,.T.); +#2555=ORIENTED_EDGE('',*,*,#1918,.T.); +#2556=ORIENTED_EDGE('',*,*,#1873,.T.); +#2557=ORIENTED_EDGE('',*,*,#1919,.F.); +#2558=ORIENTED_EDGE('',*,*,#1920,.F.); +#2559=ORIENTED_EDGE('',*,*,#1819,.F.); +#2560=ORIENTED_EDGE('',*,*,#1886,.T.); +#2561=ORIENTED_EDGE('',*,*,#1874,.T.); +#2562=ORIENTED_EDGE('',*,*,#1918,.F.); +#2563=ORIENTED_EDGE('',*,*,#1921,.F.); +#2564=ORIENTED_EDGE('',*,*,#1884,.F.); +#2565=ORIENTED_EDGE('',*,*,#1920,.T.); +#2566=ORIENTED_EDGE('',*,*,#1922,.T.); +#2567=ORIENTED_EDGE('',*,*,#1923,.T.); +#2568=ORIENTED_EDGE('',*,*,#1820,.T.); +#2569=ORIENTED_EDGE('',*,*,#1889,.T.); +#2570=ORIENTED_EDGE('',*,*,#1924,.F.); +#2571=ORIENTED_EDGE('',*,*,#1904,.F.); +#2572=ORIENTED_EDGE('',*,*,#1925,.T.); +#2573=ORIENTED_EDGE('',*,*,#1888,.T.); +#2574=ORIENTED_EDGE('',*,*,#1926,.F.); +#2575=ORIENTED_EDGE('',*,*,#1905,.F.); +#2576=ORIENTED_EDGE('',*,*,#1924,.T.); +#2577=ORIENTED_EDGE('',*,*,#1887,.T.); +#2578=ORIENTED_EDGE('',*,*,#1927,.F.); +#2579=ORIENTED_EDGE('',*,*,#1906,.F.); +#2580=ORIENTED_EDGE('',*,*,#1926,.T.); +#2581=ORIENTED_EDGE('',*,*,#1890,.T.); +#2582=ORIENTED_EDGE('',*,*,#1925,.F.); +#2583=ORIENTED_EDGE('',*,*,#1907,.F.); +#2584=ORIENTED_EDGE('',*,*,#1927,.T.); +#2585=ORIENTED_EDGE('',*,*,#1928,.F.); +#2586=ORIENTED_EDGE('',*,*,#1929,.F.); +#2587=ORIENTED_EDGE('',*,*,#1823,.F.); +#2588=ORIENTED_EDGE('',*,*,#1930,.T.); +#2589=ORIENTED_EDGE('',*,*,#1931,.F.); +#2590=ORIENTED_EDGE('',*,*,#1930,.F.); +#2591=ORIENTED_EDGE('',*,*,#1822,.F.); +#2592=ORIENTED_EDGE('',*,*,#1932,.T.); +#2593=ORIENTED_EDGE('',*,*,#1933,.F.); +#2594=ORIENTED_EDGE('',*,*,#1934,.F.); +#2595=ORIENTED_EDGE('',*,*,#1935,.F.); +#2596=ORIENTED_EDGE('',*,*,#1936,.F.); +#2597=ORIENTED_EDGE('',*,*,#1937,.F.); +#2598=ORIENTED_EDGE('',*,*,#1932,.F.); +#2599=ORIENTED_EDGE('',*,*,#1821,.F.); +#2600=ORIENTED_EDGE('',*,*,#1923,.F.); +#2601=ORIENTED_EDGE('',*,*,#1929,.T.); +#2602=ORIENTED_EDGE('',*,*,#1938,.T.); +#2603=ORIENTED_EDGE('',*,*,#1939,.T.); +#2604=ORIENTED_EDGE('',*,*,#1824,.T.); +#2605=ORIENTED_EDGE('',*,*,#1935,.T.); +#2606=ORIENTED_EDGE('',*,*,#1940,.F.); +#2607=ORIENTED_EDGE('',*,*,#1900,.F.); +#2608=ORIENTED_EDGE('',*,*,#1941,.T.); +#2609=ORIENTED_EDGE('',*,*,#1934,.T.); +#2610=ORIENTED_EDGE('',*,*,#1942,.F.); +#2611=ORIENTED_EDGE('',*,*,#1901,.F.); +#2612=ORIENTED_EDGE('',*,*,#1940,.T.); +#2613=ORIENTED_EDGE('',*,*,#1933,.T.); +#2614=ORIENTED_EDGE('',*,*,#1943,.F.); +#2615=ORIENTED_EDGE('',*,*,#1902,.F.); +#2616=ORIENTED_EDGE('',*,*,#1942,.T.); +#2617=ORIENTED_EDGE('',*,*,#1936,.T.); +#2618=ORIENTED_EDGE('',*,*,#1941,.F.); +#2619=ORIENTED_EDGE('',*,*,#1903,.F.); +#2620=ORIENTED_EDGE('',*,*,#1943,.T.); +#2621=ORIENTED_EDGE('',*,*,#1944,.F.); +#2622=ORIENTED_EDGE('',*,*,#1945,.F.); +#2623=ORIENTED_EDGE('',*,*,#1827,.F.); +#2624=ORIENTED_EDGE('',*,*,#1946,.T.); +#2625=ORIENTED_EDGE('',*,*,#1947,.F.); +#2626=ORIENTED_EDGE('',*,*,#1946,.F.); +#2627=ORIENTED_EDGE('',*,*,#1826,.F.); +#2628=ORIENTED_EDGE('',*,*,#1948,.T.); +#2629=ORIENTED_EDGE('',*,*,#1949,.F.); +#2630=ORIENTED_EDGE('',*,*,#1950,.F.); +#2631=ORIENTED_EDGE('',*,*,#1951,.F.); +#2632=ORIENTED_EDGE('',*,*,#1952,.F.); +#2633=ORIENTED_EDGE('',*,*,#1953,.F.); +#2634=ORIENTED_EDGE('',*,*,#1948,.F.); +#2635=ORIENTED_EDGE('',*,*,#1825,.F.); +#2636=ORIENTED_EDGE('',*,*,#1939,.F.); +#2637=ORIENTED_EDGE('',*,*,#1945,.T.); +#2638=ORIENTED_EDGE('',*,*,#1954,.T.); +#2639=ORIENTED_EDGE('',*,*,#1955,.T.); +#2640=ORIENTED_EDGE('',*,*,#1828,.T.); +#2641=ORIENTED_EDGE('',*,*,#1951,.T.); +#2642=ORIENTED_EDGE('',*,*,#1956,.F.); +#2643=ORIENTED_EDGE('',*,*,#1896,.F.); +#2644=ORIENTED_EDGE('',*,*,#1957,.T.); +#2645=ORIENTED_EDGE('',*,*,#1950,.T.); +#2646=ORIENTED_EDGE('',*,*,#1958,.F.); +#2647=ORIENTED_EDGE('',*,*,#1897,.F.); +#2648=ORIENTED_EDGE('',*,*,#1956,.T.); +#2649=ORIENTED_EDGE('',*,*,#1949,.T.); +#2650=ORIENTED_EDGE('',*,*,#1959,.F.); +#2651=ORIENTED_EDGE('',*,*,#1898,.F.); +#2652=ORIENTED_EDGE('',*,*,#1958,.T.); +#2653=ORIENTED_EDGE('',*,*,#1952,.T.); +#2654=ORIENTED_EDGE('',*,*,#1957,.F.); +#2655=ORIENTED_EDGE('',*,*,#1899,.F.); +#2656=ORIENTED_EDGE('',*,*,#1959,.T.); +#2657=ORIENTED_EDGE('',*,*,#1960,.F.); +#2658=ORIENTED_EDGE('',*,*,#1961,.F.); +#2659=ORIENTED_EDGE('',*,*,#1829,.F.); +#2660=ORIENTED_EDGE('',*,*,#1955,.F.); +#2661=ORIENTED_EDGE('',*,*,#1795,.T.); +#2662=ORIENTED_EDGE('',*,*,#1830,.F.); +#2663=ORIENTED_EDGE('',*,*,#1961,.T.); +#2664=ORIENTED_EDGE('',*,*,#1962,.F.); +#2665=ORIENTED_EDGE('',*,*,#1963,.F.); +#2666=ORIENTED_EDGE('',*,*,#1804,.T.); +#2667=ORIENTED_EDGE('',*,*,#1964,.F.); +#2668=ORIENTED_EDGE('',*,*,#1965,.F.); +#2669=ORIENTED_EDGE('',*,*,#1966,.F.); +#2670=ORIENTED_EDGE('',*,*,#1967,.F.); +#2671=ORIENTED_EDGE('',*,*,#1805,.T.); +#2672=ORIENTED_EDGE('',*,*,#1963,.T.); +#2673=ORIENTED_EDGE('',*,*,#1968,.F.); +#2674=ORIENTED_EDGE('',*,*,#1844,.F.); +#2675=ORIENTED_EDGE('',*,*,#1921,.T.); +#2676=ORIENTED_EDGE('',*,*,#1917,.F.); +#2677=ORIENTED_EDGE('',*,*,#1969,.F.); +#2678=ORIENTED_EDGE('',*,*,#1757,.F.); +#2679=ORIENTED_EDGE('',*,*,#1970,.T.); +#2680=ORIENTED_EDGE('',*,*,#1845,.F.); +#2681=ORIENTED_EDGE('',*,*,#1968,.T.); +#2682=ORIENTED_EDGE('',*,*,#1962,.T.); +#2683=ORIENTED_EDGE('',*,*,#1960,.T.); +#2684=ORIENTED_EDGE('',*,*,#1954,.F.); +#2685=ORIENTED_EDGE('',*,*,#1944,.T.); +#2686=ORIENTED_EDGE('',*,*,#1947,.T.); +#2687=ORIENTED_EDGE('',*,*,#1953,.T.); +#2688=ORIENTED_EDGE('',*,*,#1938,.F.); +#2689=ORIENTED_EDGE('',*,*,#1928,.T.); +#2690=ORIENTED_EDGE('',*,*,#1931,.T.); +#2691=ORIENTED_EDGE('',*,*,#1937,.T.); +#2692=ORIENTED_EDGE('',*,*,#1922,.F.); +#2693=ORIENTED_EDGE('',*,*,#1919,.T.); +#2694=ORIENTED_EDGE('',*,*,#1885,.T.); +#2695=ORIENTED_EDGE('',*,*,#1966,.T.); +#2696=ORIENTED_EDGE('',*,*,#1971,.F.); +#2697=ORIENTED_EDGE('',*,*,#1892,.F.); +#2698=ORIENTED_EDGE('',*,*,#1972,.T.); +#2699=ORIENTED_EDGE('',*,*,#1965,.T.); +#2700=ORIENTED_EDGE('',*,*,#1973,.F.); +#2701=ORIENTED_EDGE('',*,*,#1893,.F.); +#2702=ORIENTED_EDGE('',*,*,#1971,.T.); +#2703=ORIENTED_EDGE('',*,*,#1964,.T.); +#2704=ORIENTED_EDGE('',*,*,#1974,.F.); +#2705=ORIENTED_EDGE('',*,*,#1894,.F.); +#2706=ORIENTED_EDGE('',*,*,#1973,.T.); +#2707=ORIENTED_EDGE('',*,*,#1967,.T.); +#2708=ORIENTED_EDGE('',*,*,#1972,.F.); +#2709=ORIENTED_EDGE('',*,*,#1895,.F.); +#2710=ORIENTED_EDGE('',*,*,#1974,.T.); +#2711=ORIENTED_EDGE('',*,*,#1846,.F.); +#2712=ORIENTED_EDGE('',*,*,#1970,.F.); +#2713=ORIENTED_EDGE('',*,*,#1756,.F.); +#2714=ORIENTED_EDGE('',*,*,#1778,.F.); +#2715=ORIENTED_EDGE('',*,*,#1916,.F.); +#2716=ORIENTED_EDGE('',*,*,#1859,.F.); +#2717=ORIENTED_EDGE('',*,*,#1758,.F.); +#2718=ORIENTED_EDGE('',*,*,#1969,.T.); +#2719=ORIENTED_EDGE('',*,*,#1891,.F.); +#2720=ORIENTED_EDGE('',*,*,#1741,.F.); +#2721=ORIENTED_EDGE('',*,*,#1753,.F.); +#2722=ORIENTED_EDGE('',*,*,#1738,.T.); +#2723=ORIENTED_EDGE('',*,*,#1728,.T.); +#2724=ORIENTED_EDGE('',*,*,#1870,.T.); +#2725=ORIENTED_EDGE('',*,*,#1868,.T.); +#2726=ORIENTED_EDGE('',*,*,#1866,.T.); +#2727=ORIENTED_EDGE('',*,*,#1863,.T.); +#2728=ORIENTED_EDGE('',*,*,#1912,.F.); +#2729=ORIENTED_EDGE('',*,*,#1908,.F.); +#2730=ORIENTED_EDGE('',*,*,#1915,.T.); +#2731=ORIENTED_EDGE('',*,*,#1813,.T.); +#2732=ORIENTED_EDGE('',*,*,#1749,.T.); +#2733=ORIENTED_EDGE('',*,*,#1720,.T.); +#2734=ORIENTED_EDGE('',*,*,#1734,.T.); +#2735=ORIENTED_EDGE('',*,*,#1975,.F.); +#2736=ORIENTED_EDGE('',*,*,#1976,.F.); +#2737=ORIENTED_EDGE('',*,*,#1977,.T.); +#2738=ORIENTED_EDGE('',*,*,#1978,.T.); +#2739=ORIENTED_EDGE('',*,*,#1979,.F.); +#2740=ORIENTED_EDGE('',*,*,#1980,.F.); +#2741=ORIENTED_EDGE('',*,*,#1981,.T.); +#2742=ORIENTED_EDGE('',*,*,#1976,.T.); +#2743=ORIENTED_EDGE('',*,*,#1982,.F.); +#2744=ORIENTED_EDGE('',*,*,#1983,.F.); +#2745=ORIENTED_EDGE('',*,*,#1984,.T.); +#2746=ORIENTED_EDGE('',*,*,#1980,.T.); +#2747=ORIENTED_EDGE('',*,*,#1985,.F.); +#2748=ORIENTED_EDGE('',*,*,#1978,.F.); +#2749=ORIENTED_EDGE('',*,*,#1986,.T.); +#2750=ORIENTED_EDGE('',*,*,#1983,.T.); +#2751=ORIENTED_EDGE('',*,*,#1977,.F.); +#2752=ORIENTED_EDGE('',*,*,#1981,.F.); +#2753=ORIENTED_EDGE('',*,*,#1984,.F.); +#2754=ORIENTED_EDGE('',*,*,#1986,.F.); +#2755=ORIENTED_EDGE('',*,*,#1979,.T.); +#2756=ORIENTED_EDGE('',*,*,#1975,.T.); +#2757=ORIENTED_EDGE('',*,*,#1985,.T.); +#2758=ORIENTED_EDGE('',*,*,#1982,.T.); +#2759=ORIENTED_EDGE('',*,*,#1987,.T.); +#2760=ORIENTED_EDGE('',*,*,#1988,.F.); +#2761=ORIENTED_EDGE('',*,*,#1989,.F.); +#2762=ORIENTED_EDGE('',*,*,#1990,.T.); +#2763=ORIENTED_EDGE('',*,*,#1991,.T.); +#2764=ORIENTED_EDGE('',*,*,#1990,.F.); +#2765=ORIENTED_EDGE('',*,*,#1992,.F.); +#2766=ORIENTED_EDGE('',*,*,#1993,.T.); +#2767=ORIENTED_EDGE('',*,*,#1994,.T.); +#2768=ORIENTED_EDGE('',*,*,#1993,.F.); +#2769=ORIENTED_EDGE('',*,*,#1995,.F.); +#2770=ORIENTED_EDGE('',*,*,#1996,.T.); +#2771=ORIENTED_EDGE('',*,*,#1997,.T.); +#2772=ORIENTED_EDGE('',*,*,#1996,.F.); +#2773=ORIENTED_EDGE('',*,*,#1998,.F.); +#2774=ORIENTED_EDGE('',*,*,#1988,.T.); +#2775=ORIENTED_EDGE('',*,*,#1989,.T.); +#2776=ORIENTED_EDGE('',*,*,#1998,.T.); +#2777=ORIENTED_EDGE('',*,*,#1995,.T.); +#2778=ORIENTED_EDGE('',*,*,#1992,.T.); +#2779=ORIENTED_EDGE('',*,*,#1991,.F.); +#2780=ORIENTED_EDGE('',*,*,#1994,.F.); +#2781=ORIENTED_EDGE('',*,*,#1997,.F.); +#2782=ORIENTED_EDGE('',*,*,#1987,.F.); +#2783=ORIENTED_EDGE('',*,*,#1999,.T.); +#2784=ORIENTED_EDGE('',*,*,#2000,.T.); +#2785=ORIENTED_EDGE('',*,*,#2001,.T.); +#2786=ORIENTED_EDGE('',*,*,#2002,.F.); +#2787=ORIENTED_EDGE('',*,*,#2003,.T.); +#2788=ORIENTED_EDGE('',*,*,#2004,.T.); +#2789=ORIENTED_EDGE('',*,*,#2005,.T.); +#2790=ORIENTED_EDGE('',*,*,#2000,.F.); +#2791=ORIENTED_EDGE('',*,*,#2006,.T.); +#2792=ORIENTED_EDGE('',*,*,#2007,.T.); +#2793=ORIENTED_EDGE('',*,*,#2008,.T.); +#2794=ORIENTED_EDGE('',*,*,#2004,.F.); +#2795=ORIENTED_EDGE('',*,*,#2009,.T.); +#2796=ORIENTED_EDGE('',*,*,#2007,.F.); +#2797=ORIENTED_EDGE('',*,*,#2010,.T.); +#2798=ORIENTED_EDGE('',*,*,#2002,.T.); +#2799=ORIENTED_EDGE('',*,*,#2009,.F.); +#2800=ORIENTED_EDGE('',*,*,#2011,.F.); +#2801=ORIENTED_EDGE('',*,*,#2012,.T.); +#2802=ORIENTED_EDGE('',*,*,#2013,.T.); +#2803=ORIENTED_EDGE('',*,*,#2001,.F.); +#2804=ORIENTED_EDGE('',*,*,#2014,.F.); +#2805=ORIENTED_EDGE('',*,*,#2015,.F.); +#2806=ORIENTED_EDGE('',*,*,#2016,.F.); +#2807=ORIENTED_EDGE('',*,*,#2017,.F.); +#2808=ORIENTED_EDGE('',*,*,#2018,.F.); +#2809=ORIENTED_EDGE('',*,*,#2019,.F.); +#2810=ORIENTED_EDGE('',*,*,#2020,.T.); +#2811=ORIENTED_EDGE('',*,*,#2021,.T.); +#2812=ORIENTED_EDGE('',*,*,#2022,.T.); +#2813=ORIENTED_EDGE('',*,*,#2023,.T.); +#2814=ORIENTED_EDGE('',*,*,#2011,.T.); +#2815=ORIENTED_EDGE('',*,*,#1999,.F.); +#2816=ORIENTED_EDGE('',*,*,#2010,.F.); +#2817=ORIENTED_EDGE('',*,*,#2006,.F.); +#2818=ORIENTED_EDGE('',*,*,#2003,.F.); +#2819=ORIENTED_EDGE('',*,*,#2024,.T.); +#2820=ORIENTED_EDGE('',*,*,#2025,.T.); +#2821=ORIENTED_EDGE('',*,*,#2026,.T.); +#2822=ORIENTED_EDGE('',*,*,#2027,.F.); +#2823=ORIENTED_EDGE('',*,*,#2028,.T.); +#2824=ORIENTED_EDGE('',*,*,#2029,.T.); +#2825=ORIENTED_EDGE('',*,*,#2030,.T.); +#2826=ORIENTED_EDGE('',*,*,#2025,.F.); +#2827=ORIENTED_EDGE('',*,*,#2031,.T.); +#2828=ORIENTED_EDGE('',*,*,#2032,.T.); +#2829=ORIENTED_EDGE('',*,*,#2033,.T.); +#2830=ORIENTED_EDGE('',*,*,#2027,.T.); +#2831=ORIENTED_EDGE('',*,*,#2019,.T.); +#2832=ORIENTED_EDGE('',*,*,#2032,.F.); +#2833=ORIENTED_EDGE('',*,*,#2034,.T.); +#2834=ORIENTED_EDGE('',*,*,#2029,.F.); +#2835=ORIENTED_EDGE('',*,*,#2028,.F.); +#2836=ORIENTED_EDGE('',*,*,#2035,.T.); +#2837=ORIENTED_EDGE('',*,*,#2036,.F.); +#2838=ORIENTED_EDGE('',*,*,#2020,.F.); +#2839=ORIENTED_EDGE('',*,*,#2008,.F.); +#2840=ORIENTED_EDGE('',*,*,#2013,.F.); +#2841=ORIENTED_EDGE('',*,*,#2037,.F.); +#2842=ORIENTED_EDGE('',*,*,#2038,.F.); +#2843=ORIENTED_EDGE('',*,*,#2039,.F.); +#2844=ORIENTED_EDGE('',*,*,#2035,.F.); +#2845=ORIENTED_EDGE('',*,*,#2024,.F.); +#2846=ORIENTED_EDGE('',*,*,#2040,.T.); +#2847=ORIENTED_EDGE('',*,*,#2041,.T.); +#2848=ORIENTED_EDGE('',*,*,#2042,.T.); +#2849=ORIENTED_EDGE('',*,*,#2043,.T.); +#2850=ORIENTED_EDGE('',*,*,#2044,.T.); +#2851=ORIENTED_EDGE('',*,*,#2026,.F.); +#2852=ORIENTED_EDGE('',*,*,#2030,.F.); +#2853=ORIENTED_EDGE('',*,*,#2034,.F.); +#2854=ORIENTED_EDGE('',*,*,#2031,.F.); +#2855=ORIENTED_EDGE('',*,*,#2005,.F.); +#2856=ORIENTED_EDGE('',*,*,#2044,.F.); +#2857=ORIENTED_EDGE('',*,*,#2045,.T.); +#2858=ORIENTED_EDGE('',*,*,#2014,.T.); +#2859=ORIENTED_EDGE('',*,*,#2046,.T.); +#2860=ORIENTED_EDGE('',*,*,#2037,.T.); +#2861=ORIENTED_EDGE('',*,*,#2012,.F.); +#2862=ORIENTED_EDGE('',*,*,#2023,.F.); +#2863=ORIENTED_EDGE('',*,*,#2047,.T.); +#2864=ORIENTED_EDGE('',*,*,#2015,.T.); +#2865=ORIENTED_EDGE('',*,*,#2045,.F.); +#2866=ORIENTED_EDGE('',*,*,#2043,.F.); +#2867=ORIENTED_EDGE('',*,*,#2048,.T.); +#2868=ORIENTED_EDGE('',*,*,#2038,.T.); +#2869=ORIENTED_EDGE('',*,*,#2046,.F.); +#2870=ORIENTED_EDGE('',*,*,#2022,.F.); +#2871=ORIENTED_EDGE('',*,*,#2049,.T.); +#2872=ORIENTED_EDGE('',*,*,#2016,.T.); +#2873=ORIENTED_EDGE('',*,*,#2047,.F.); +#2874=ORIENTED_EDGE('',*,*,#2042,.F.); +#2875=ORIENTED_EDGE('',*,*,#2036,.T.); +#2876=ORIENTED_EDGE('',*,*,#2039,.T.); +#2877=ORIENTED_EDGE('',*,*,#2048,.F.); +#2878=ORIENTED_EDGE('',*,*,#2021,.F.); +#2879=ORIENTED_EDGE('',*,*,#2050,.T.); +#2880=ORIENTED_EDGE('',*,*,#2017,.T.); +#2881=ORIENTED_EDGE('',*,*,#2049,.F.); +#2882=ORIENTED_EDGE('',*,*,#2041,.F.); +#2883=ORIENTED_EDGE('',*,*,#2033,.F.); +#2884=ORIENTED_EDGE('',*,*,#2018,.T.); +#2885=ORIENTED_EDGE('',*,*,#2050,.F.); +#2886=ORIENTED_EDGE('',*,*,#2040,.F.); +#2887=ORIENTED_EDGE('',*,*,#2051,.T.); +#2888=ORIENTED_EDGE('',*,*,#2052,.T.); +#2889=ORIENTED_EDGE('',*,*,#2053,.T.); +#2890=ORIENTED_EDGE('',*,*,#2054,.F.); +#2891=ORIENTED_EDGE('',*,*,#2055,.T.); +#2892=ORIENTED_EDGE('',*,*,#2056,.T.); +#2893=ORIENTED_EDGE('',*,*,#2057,.T.); +#2894=ORIENTED_EDGE('',*,*,#2052,.F.); +#2895=ORIENTED_EDGE('',*,*,#2058,.T.); +#2896=ORIENTED_EDGE('',*,*,#2059,.T.); +#2897=ORIENTED_EDGE('',*,*,#2060,.T.); +#2898=ORIENTED_EDGE('',*,*,#2056,.F.); +#2899=ORIENTED_EDGE('',*,*,#2061,.T.); +#2900=ORIENTED_EDGE('',*,*,#2059,.F.); +#2901=ORIENTED_EDGE('',*,*,#2062,.T.); +#2902=ORIENTED_EDGE('',*,*,#2054,.T.); +#2903=ORIENTED_EDGE('',*,*,#2061,.F.); +#2904=ORIENTED_EDGE('',*,*,#2063,.F.); +#2905=ORIENTED_EDGE('',*,*,#2064,.T.); +#2906=ORIENTED_EDGE('',*,*,#2065,.T.); +#2907=ORIENTED_EDGE('',*,*,#2053,.F.); +#2908=ORIENTED_EDGE('',*,*,#2066,.F.); +#2909=ORIENTED_EDGE('',*,*,#2067,.F.); +#2910=ORIENTED_EDGE('',*,*,#2068,.F.); +#2911=ORIENTED_EDGE('',*,*,#2069,.F.); +#2912=ORIENTED_EDGE('',*,*,#2070,.F.); +#2913=ORIENTED_EDGE('',*,*,#2071,.F.); +#2914=ORIENTED_EDGE('',*,*,#2072,.T.); +#2915=ORIENTED_EDGE('',*,*,#2073,.T.); +#2916=ORIENTED_EDGE('',*,*,#2074,.T.); +#2917=ORIENTED_EDGE('',*,*,#2075,.T.); +#2918=ORIENTED_EDGE('',*,*,#2063,.T.); +#2919=ORIENTED_EDGE('',*,*,#2051,.F.); +#2920=ORIENTED_EDGE('',*,*,#2062,.F.); +#2921=ORIENTED_EDGE('',*,*,#2058,.F.); +#2922=ORIENTED_EDGE('',*,*,#2055,.F.); +#2923=ORIENTED_EDGE('',*,*,#2076,.T.); +#2924=ORIENTED_EDGE('',*,*,#2077,.T.); +#2925=ORIENTED_EDGE('',*,*,#2078,.T.); +#2926=ORIENTED_EDGE('',*,*,#2079,.F.); +#2927=ORIENTED_EDGE('',*,*,#2080,.T.); +#2928=ORIENTED_EDGE('',*,*,#2081,.T.); +#2929=ORIENTED_EDGE('',*,*,#2082,.T.); +#2930=ORIENTED_EDGE('',*,*,#2077,.F.); +#2931=ORIENTED_EDGE('',*,*,#2083,.T.); +#2932=ORIENTED_EDGE('',*,*,#2084,.T.); +#2933=ORIENTED_EDGE('',*,*,#2085,.T.); +#2934=ORIENTED_EDGE('',*,*,#2079,.T.); +#2935=ORIENTED_EDGE('',*,*,#2071,.T.); +#2936=ORIENTED_EDGE('',*,*,#2084,.F.); +#2937=ORIENTED_EDGE('',*,*,#2086,.T.); +#2938=ORIENTED_EDGE('',*,*,#2081,.F.); +#2939=ORIENTED_EDGE('',*,*,#2080,.F.); +#2940=ORIENTED_EDGE('',*,*,#2087,.T.); +#2941=ORIENTED_EDGE('',*,*,#2088,.F.); +#2942=ORIENTED_EDGE('',*,*,#2072,.F.); +#2943=ORIENTED_EDGE('',*,*,#2060,.F.); +#2944=ORIENTED_EDGE('',*,*,#2065,.F.); +#2945=ORIENTED_EDGE('',*,*,#2089,.F.); +#2946=ORIENTED_EDGE('',*,*,#2090,.F.); +#2947=ORIENTED_EDGE('',*,*,#2091,.F.); +#2948=ORIENTED_EDGE('',*,*,#2087,.F.); +#2949=ORIENTED_EDGE('',*,*,#2076,.F.); +#2950=ORIENTED_EDGE('',*,*,#2092,.T.); +#2951=ORIENTED_EDGE('',*,*,#2093,.T.); +#2952=ORIENTED_EDGE('',*,*,#2094,.T.); +#2953=ORIENTED_EDGE('',*,*,#2095,.T.); +#2954=ORIENTED_EDGE('',*,*,#2096,.T.); +#2955=ORIENTED_EDGE('',*,*,#2078,.F.); +#2956=ORIENTED_EDGE('',*,*,#2082,.F.); +#2957=ORIENTED_EDGE('',*,*,#2086,.F.); +#2958=ORIENTED_EDGE('',*,*,#2083,.F.); +#2959=ORIENTED_EDGE('',*,*,#2057,.F.); +#2960=ORIENTED_EDGE('',*,*,#2096,.F.); +#2961=ORIENTED_EDGE('',*,*,#2097,.T.); +#2962=ORIENTED_EDGE('',*,*,#2066,.T.); +#2963=ORIENTED_EDGE('',*,*,#2098,.T.); +#2964=ORIENTED_EDGE('',*,*,#2089,.T.); +#2965=ORIENTED_EDGE('',*,*,#2064,.F.); +#2966=ORIENTED_EDGE('',*,*,#2075,.F.); +#2967=ORIENTED_EDGE('',*,*,#2099,.T.); +#2968=ORIENTED_EDGE('',*,*,#2067,.T.); +#2969=ORIENTED_EDGE('',*,*,#2097,.F.); +#2970=ORIENTED_EDGE('',*,*,#2095,.F.); +#2971=ORIENTED_EDGE('',*,*,#2100,.T.); +#2972=ORIENTED_EDGE('',*,*,#2090,.T.); +#2973=ORIENTED_EDGE('',*,*,#2098,.F.); +#2974=ORIENTED_EDGE('',*,*,#2074,.F.); +#2975=ORIENTED_EDGE('',*,*,#2101,.T.); +#2976=ORIENTED_EDGE('',*,*,#2068,.T.); +#2977=ORIENTED_EDGE('',*,*,#2099,.F.); +#2978=ORIENTED_EDGE('',*,*,#2094,.F.); +#2979=ORIENTED_EDGE('',*,*,#2088,.T.); +#2980=ORIENTED_EDGE('',*,*,#2091,.T.); +#2981=ORIENTED_EDGE('',*,*,#2100,.F.); +#2982=ORIENTED_EDGE('',*,*,#2073,.F.); +#2983=ORIENTED_EDGE('',*,*,#2102,.T.); +#2984=ORIENTED_EDGE('',*,*,#2069,.T.); +#2985=ORIENTED_EDGE('',*,*,#2101,.F.); +#2986=ORIENTED_EDGE('',*,*,#2093,.F.); +#2987=ORIENTED_EDGE('',*,*,#2085,.F.); +#2988=ORIENTED_EDGE('',*,*,#2070,.T.); +#2989=ORIENTED_EDGE('',*,*,#2102,.F.); +#2990=ORIENTED_EDGE('',*,*,#2092,.F.); +#2991=ORIENTED_EDGE('',*,*,#2103,.T.); +#2992=ORIENTED_EDGE('',*,*,#2104,.T.); +#2993=ORIENTED_EDGE('',*,*,#2105,.T.); +#2994=ORIENTED_EDGE('',*,*,#2106,.F.); +#2995=ORIENTED_EDGE('',*,*,#2107,.T.); +#2996=ORIENTED_EDGE('',*,*,#2108,.T.); +#2997=ORIENTED_EDGE('',*,*,#2109,.T.); +#2998=ORIENTED_EDGE('',*,*,#2104,.F.); +#2999=ORIENTED_EDGE('',*,*,#2110,.T.); +#3000=ORIENTED_EDGE('',*,*,#2111,.T.); +#3001=ORIENTED_EDGE('',*,*,#2112,.T.); +#3002=ORIENTED_EDGE('',*,*,#2108,.F.); +#3003=ORIENTED_EDGE('',*,*,#2113,.T.); +#3004=ORIENTED_EDGE('',*,*,#2111,.F.); +#3005=ORIENTED_EDGE('',*,*,#2114,.T.); +#3006=ORIENTED_EDGE('',*,*,#2106,.T.); +#3007=ORIENTED_EDGE('',*,*,#2113,.F.); +#3008=ORIENTED_EDGE('',*,*,#2115,.F.); +#3009=ORIENTED_EDGE('',*,*,#2116,.T.); +#3010=ORIENTED_EDGE('',*,*,#2117,.T.); +#3011=ORIENTED_EDGE('',*,*,#2105,.F.); +#3012=ORIENTED_EDGE('',*,*,#2118,.F.); +#3013=ORIENTED_EDGE('',*,*,#2119,.F.); +#3014=ORIENTED_EDGE('',*,*,#2120,.F.); +#3015=ORIENTED_EDGE('',*,*,#2121,.F.); +#3016=ORIENTED_EDGE('',*,*,#2122,.F.); +#3017=ORIENTED_EDGE('',*,*,#2123,.F.); +#3018=ORIENTED_EDGE('',*,*,#2124,.T.); +#3019=ORIENTED_EDGE('',*,*,#2125,.T.); +#3020=ORIENTED_EDGE('',*,*,#2126,.T.); +#3021=ORIENTED_EDGE('',*,*,#2127,.T.); +#3022=ORIENTED_EDGE('',*,*,#2115,.T.); +#3023=ORIENTED_EDGE('',*,*,#2103,.F.); +#3024=ORIENTED_EDGE('',*,*,#2114,.F.); +#3025=ORIENTED_EDGE('',*,*,#2110,.F.); +#3026=ORIENTED_EDGE('',*,*,#2107,.F.); +#3027=ORIENTED_EDGE('',*,*,#2128,.T.); +#3028=ORIENTED_EDGE('',*,*,#2129,.T.); +#3029=ORIENTED_EDGE('',*,*,#2130,.T.); +#3030=ORIENTED_EDGE('',*,*,#2131,.F.); +#3031=ORIENTED_EDGE('',*,*,#2132,.T.); +#3032=ORIENTED_EDGE('',*,*,#2133,.T.); +#3033=ORIENTED_EDGE('',*,*,#2134,.T.); +#3034=ORIENTED_EDGE('',*,*,#2129,.F.); +#3035=ORIENTED_EDGE('',*,*,#2135,.T.); +#3036=ORIENTED_EDGE('',*,*,#2136,.T.); +#3037=ORIENTED_EDGE('',*,*,#2137,.T.); +#3038=ORIENTED_EDGE('',*,*,#2131,.T.); +#3039=ORIENTED_EDGE('',*,*,#2123,.T.); +#3040=ORIENTED_EDGE('',*,*,#2136,.F.); +#3041=ORIENTED_EDGE('',*,*,#2138,.T.); +#3042=ORIENTED_EDGE('',*,*,#2133,.F.); +#3043=ORIENTED_EDGE('',*,*,#2132,.F.); +#3044=ORIENTED_EDGE('',*,*,#2139,.T.); +#3045=ORIENTED_EDGE('',*,*,#2140,.F.); +#3046=ORIENTED_EDGE('',*,*,#2124,.F.); +#3047=ORIENTED_EDGE('',*,*,#2112,.F.); +#3048=ORIENTED_EDGE('',*,*,#2117,.F.); +#3049=ORIENTED_EDGE('',*,*,#2141,.F.); +#3050=ORIENTED_EDGE('',*,*,#2142,.F.); +#3051=ORIENTED_EDGE('',*,*,#2143,.F.); +#3052=ORIENTED_EDGE('',*,*,#2139,.F.); +#3053=ORIENTED_EDGE('',*,*,#2128,.F.); +#3054=ORIENTED_EDGE('',*,*,#2144,.T.); +#3055=ORIENTED_EDGE('',*,*,#2145,.T.); +#3056=ORIENTED_EDGE('',*,*,#2146,.T.); +#3057=ORIENTED_EDGE('',*,*,#2147,.T.); +#3058=ORIENTED_EDGE('',*,*,#2148,.T.); +#3059=ORIENTED_EDGE('',*,*,#2130,.F.); +#3060=ORIENTED_EDGE('',*,*,#2134,.F.); +#3061=ORIENTED_EDGE('',*,*,#2138,.F.); +#3062=ORIENTED_EDGE('',*,*,#2135,.F.); +#3063=ORIENTED_EDGE('',*,*,#2109,.F.); +#3064=ORIENTED_EDGE('',*,*,#2148,.F.); +#3065=ORIENTED_EDGE('',*,*,#2149,.T.); +#3066=ORIENTED_EDGE('',*,*,#2118,.T.); +#3067=ORIENTED_EDGE('',*,*,#2150,.T.); +#3068=ORIENTED_EDGE('',*,*,#2141,.T.); +#3069=ORIENTED_EDGE('',*,*,#2116,.F.); +#3070=ORIENTED_EDGE('',*,*,#2127,.F.); +#3071=ORIENTED_EDGE('',*,*,#2151,.T.); +#3072=ORIENTED_EDGE('',*,*,#2119,.T.); +#3073=ORIENTED_EDGE('',*,*,#2149,.F.); +#3074=ORIENTED_EDGE('',*,*,#2147,.F.); +#3075=ORIENTED_EDGE('',*,*,#2152,.T.); +#3076=ORIENTED_EDGE('',*,*,#2142,.T.); +#3077=ORIENTED_EDGE('',*,*,#2150,.F.); +#3078=ORIENTED_EDGE('',*,*,#2126,.F.); +#3079=ORIENTED_EDGE('',*,*,#2153,.T.); +#3080=ORIENTED_EDGE('',*,*,#2120,.T.); +#3081=ORIENTED_EDGE('',*,*,#2151,.F.); +#3082=ORIENTED_EDGE('',*,*,#2146,.F.); +#3083=ORIENTED_EDGE('',*,*,#2140,.T.); +#3084=ORIENTED_EDGE('',*,*,#2143,.T.); +#3085=ORIENTED_EDGE('',*,*,#2152,.F.); +#3086=ORIENTED_EDGE('',*,*,#2125,.F.); +#3087=ORIENTED_EDGE('',*,*,#2154,.T.); +#3088=ORIENTED_EDGE('',*,*,#2121,.T.); +#3089=ORIENTED_EDGE('',*,*,#2153,.F.); +#3090=ORIENTED_EDGE('',*,*,#2145,.F.); +#3091=ORIENTED_EDGE('',*,*,#2137,.F.); +#3092=ORIENTED_EDGE('',*,*,#2122,.T.); +#3093=ORIENTED_EDGE('',*,*,#2154,.F.); +#3094=ORIENTED_EDGE('',*,*,#2144,.F.); +#3095=ORIENTED_EDGE('',*,*,#2155,.T.); +#3096=ORIENTED_EDGE('',*,*,#2156,.T.); +#3097=ORIENTED_EDGE('',*,*,#2157,.T.); +#3098=ORIENTED_EDGE('',*,*,#2158,.F.); +#3099=ORIENTED_EDGE('',*,*,#2159,.T.); +#3100=ORIENTED_EDGE('',*,*,#2160,.T.); +#3101=ORIENTED_EDGE('',*,*,#2161,.T.); +#3102=ORIENTED_EDGE('',*,*,#2156,.F.); +#3103=ORIENTED_EDGE('',*,*,#2162,.T.); +#3104=ORIENTED_EDGE('',*,*,#2163,.T.); +#3105=ORIENTED_EDGE('',*,*,#2164,.T.); +#3106=ORIENTED_EDGE('',*,*,#2160,.F.); +#3107=ORIENTED_EDGE('',*,*,#2165,.T.); +#3108=ORIENTED_EDGE('',*,*,#2163,.F.); +#3109=ORIENTED_EDGE('',*,*,#2166,.T.); +#3110=ORIENTED_EDGE('',*,*,#2158,.T.); +#3111=ORIENTED_EDGE('',*,*,#2165,.F.); +#3112=ORIENTED_EDGE('',*,*,#2167,.F.); +#3113=ORIENTED_EDGE('',*,*,#2168,.T.); +#3114=ORIENTED_EDGE('',*,*,#2169,.T.); +#3115=ORIENTED_EDGE('',*,*,#2157,.F.); +#3116=ORIENTED_EDGE('',*,*,#2170,.F.); +#3117=ORIENTED_EDGE('',*,*,#2171,.F.); +#3118=ORIENTED_EDGE('',*,*,#2172,.F.); +#3119=ORIENTED_EDGE('',*,*,#2173,.F.); +#3120=ORIENTED_EDGE('',*,*,#2174,.F.); +#3121=ORIENTED_EDGE('',*,*,#2175,.F.); +#3122=ORIENTED_EDGE('',*,*,#2176,.T.); +#3123=ORIENTED_EDGE('',*,*,#2177,.T.); +#3124=ORIENTED_EDGE('',*,*,#2178,.T.); +#3125=ORIENTED_EDGE('',*,*,#2179,.T.); +#3126=ORIENTED_EDGE('',*,*,#2167,.T.); +#3127=ORIENTED_EDGE('',*,*,#2155,.F.); +#3128=ORIENTED_EDGE('',*,*,#2166,.F.); +#3129=ORIENTED_EDGE('',*,*,#2162,.F.); +#3130=ORIENTED_EDGE('',*,*,#2159,.F.); +#3131=ORIENTED_EDGE('',*,*,#2180,.T.); +#3132=ORIENTED_EDGE('',*,*,#2181,.T.); +#3133=ORIENTED_EDGE('',*,*,#2182,.T.); +#3134=ORIENTED_EDGE('',*,*,#2183,.F.); +#3135=ORIENTED_EDGE('',*,*,#2184,.T.); +#3136=ORIENTED_EDGE('',*,*,#2185,.T.); +#3137=ORIENTED_EDGE('',*,*,#2186,.T.); +#3138=ORIENTED_EDGE('',*,*,#2181,.F.); +#3139=ORIENTED_EDGE('',*,*,#2187,.T.); +#3140=ORIENTED_EDGE('',*,*,#2188,.T.); +#3141=ORIENTED_EDGE('',*,*,#2189,.T.); +#3142=ORIENTED_EDGE('',*,*,#2183,.T.); +#3143=ORIENTED_EDGE('',*,*,#2175,.T.); +#3144=ORIENTED_EDGE('',*,*,#2188,.F.); +#3145=ORIENTED_EDGE('',*,*,#2190,.T.); +#3146=ORIENTED_EDGE('',*,*,#2185,.F.); +#3147=ORIENTED_EDGE('',*,*,#2184,.F.); +#3148=ORIENTED_EDGE('',*,*,#2191,.T.); +#3149=ORIENTED_EDGE('',*,*,#2192,.F.); +#3150=ORIENTED_EDGE('',*,*,#2176,.F.); +#3151=ORIENTED_EDGE('',*,*,#2164,.F.); +#3152=ORIENTED_EDGE('',*,*,#2169,.F.); +#3153=ORIENTED_EDGE('',*,*,#2193,.F.); +#3154=ORIENTED_EDGE('',*,*,#2194,.F.); +#3155=ORIENTED_EDGE('',*,*,#2195,.F.); +#3156=ORIENTED_EDGE('',*,*,#2191,.F.); +#3157=ORIENTED_EDGE('',*,*,#2180,.F.); +#3158=ORIENTED_EDGE('',*,*,#2196,.T.); +#3159=ORIENTED_EDGE('',*,*,#2197,.T.); +#3160=ORIENTED_EDGE('',*,*,#2198,.T.); +#3161=ORIENTED_EDGE('',*,*,#2199,.T.); +#3162=ORIENTED_EDGE('',*,*,#2200,.T.); +#3163=ORIENTED_EDGE('',*,*,#2182,.F.); +#3164=ORIENTED_EDGE('',*,*,#2186,.F.); +#3165=ORIENTED_EDGE('',*,*,#2190,.F.); +#3166=ORIENTED_EDGE('',*,*,#2187,.F.); +#3167=ORIENTED_EDGE('',*,*,#2161,.F.); +#3168=ORIENTED_EDGE('',*,*,#2200,.F.); +#3169=ORIENTED_EDGE('',*,*,#2201,.T.); +#3170=ORIENTED_EDGE('',*,*,#2170,.T.); +#3171=ORIENTED_EDGE('',*,*,#2202,.T.); +#3172=ORIENTED_EDGE('',*,*,#2193,.T.); +#3173=ORIENTED_EDGE('',*,*,#2168,.F.); +#3174=ORIENTED_EDGE('',*,*,#2179,.F.); +#3175=ORIENTED_EDGE('',*,*,#2203,.T.); +#3176=ORIENTED_EDGE('',*,*,#2171,.T.); +#3177=ORIENTED_EDGE('',*,*,#2201,.F.); +#3178=ORIENTED_EDGE('',*,*,#2199,.F.); +#3179=ORIENTED_EDGE('',*,*,#2204,.T.); +#3180=ORIENTED_EDGE('',*,*,#2194,.T.); +#3181=ORIENTED_EDGE('',*,*,#2202,.F.); +#3182=ORIENTED_EDGE('',*,*,#2178,.F.); +#3183=ORIENTED_EDGE('',*,*,#2205,.T.); +#3184=ORIENTED_EDGE('',*,*,#2172,.T.); +#3185=ORIENTED_EDGE('',*,*,#2203,.F.); +#3186=ORIENTED_EDGE('',*,*,#2198,.F.); +#3187=ORIENTED_EDGE('',*,*,#2192,.T.); +#3188=ORIENTED_EDGE('',*,*,#2195,.T.); +#3189=ORIENTED_EDGE('',*,*,#2204,.F.); +#3190=ORIENTED_EDGE('',*,*,#2177,.F.); +#3191=ORIENTED_EDGE('',*,*,#2206,.T.); +#3192=ORIENTED_EDGE('',*,*,#2173,.T.); +#3193=ORIENTED_EDGE('',*,*,#2205,.F.); +#3194=ORIENTED_EDGE('',*,*,#2197,.F.); +#3195=ORIENTED_EDGE('',*,*,#2189,.F.); +#3196=ORIENTED_EDGE('',*,*,#2174,.T.); +#3197=ORIENTED_EDGE('',*,*,#2206,.F.); +#3198=ORIENTED_EDGE('',*,*,#2196,.F.); +#3199=PLANE('',#3563); +#3200=PLANE('',#3564); +#3201=PLANE('',#3565); +#3202=PLANE('',#3566); +#3203=PLANE('',#3567); +#3204=PLANE('',#3568); +#3205=PLANE('',#3569); +#3206=PLANE('',#3570); +#3207=PLANE('',#3571); +#3208=PLANE('',#3572); +#3209=PLANE('',#3573); +#3210=PLANE('',#3574); +#3211=PLANE('',#3575); +#3212=PLANE('',#3576); +#3213=PLANE('',#3577); +#3214=PLANE('',#3578); +#3215=PLANE('',#3579); +#3216=PLANE('',#3580); +#3217=PLANE('',#3581); +#3218=PLANE('',#3582); +#3219=PLANE('',#3583); +#3220=PLANE('',#3584); +#3221=PLANE('',#3585); +#3222=PLANE('',#3586); +#3223=PLANE('',#3587); +#3224=PLANE('',#3588); +#3225=PLANE('',#3589); +#3226=PLANE('',#3590); +#3227=PLANE('',#3591); +#3228=PLANE('',#3592); +#3229=PLANE('',#3593); +#3230=PLANE('',#3594); +#3231=PLANE('',#3595); +#3232=PLANE('',#3596); +#3233=PLANE('',#3597); +#3234=PLANE('',#3598); +#3235=PLANE('',#3599); +#3236=PLANE('',#3600); +#3237=PLANE('',#3601); +#3238=PLANE('',#3602); +#3239=PLANE('',#3603); +#3240=PLANE('',#3604); +#3241=PLANE('',#3605); +#3242=PLANE('',#3606); +#3243=PLANE('',#3607); +#3244=PLANE('',#3608); +#3245=PLANE('',#3609); +#3246=PLANE('',#3610); +#3247=PLANE('',#3611); +#3248=PLANE('',#3612); +#3249=PLANE('',#3613); +#3250=PLANE('',#3614); +#3251=PLANE('',#3615); +#3252=PLANE('',#3616); +#3253=PLANE('',#3617); +#3254=PLANE('',#3618); +#3255=PLANE('',#3619); +#3256=PLANE('',#3620); +#3257=PLANE('',#3621); +#3258=PLANE('',#3622); +#3259=PLANE('',#3623); +#3260=PLANE('',#3624); +#3261=PLANE('',#3625); +#3262=PLANE('',#3626); +#3263=PLANE('',#3627); +#3264=PLANE('',#3628); +#3265=PLANE('',#3629); +#3266=PLANE('',#3630); +#3267=PLANE('',#3631); +#3268=PLANE('',#3632); +#3269=PLANE('',#3633); +#3270=PLANE('',#3634); +#3271=PLANE('',#3635); +#3272=PLANE('',#3636); +#3273=PLANE('',#3637); +#3274=PLANE('',#3638); +#3275=PLANE('',#3639); +#3276=PLANE('',#3640); +#3277=PLANE('',#3641); +#3278=PLANE('',#3642); +#3279=PLANE('',#3643); +#3280=PLANE('',#3644); +#3281=PLANE('',#3645); +#3282=PLANE('',#3646); +#3283=PLANE('',#3647); +#3284=PLANE('',#3648); +#3285=PLANE('',#3649); +#3286=PLANE('',#3650); +#3287=PLANE('',#3651); +#3288=PLANE('',#3652); +#3289=PLANE('',#3653); +#3290=PLANE('',#3654); +#3291=PLANE('',#3655); +#3292=PLANE('',#3656); +#3293=PLANE('',#3657); +#3294=PLANE('',#3658); +#3295=PLANE('',#3659); +#3296=PLANE('',#3660); +#3297=PLANE('',#3661); +#3298=PLANE('',#3662); +#3299=PLANE('',#3663); +#3300=PLANE('',#3664); +#3301=PLANE('',#3665); +#3302=PLANE('',#3666); +#3303=PLANE('',#3671); +#3304=PLANE('',#3672); +#3305=PLANE('',#3673); +#3306=PLANE('',#3674); +#3307=PLANE('',#3675); +#3308=PLANE('',#3676); +#3309=PLANE('',#3677); +#3310=PLANE('',#3682); +#3311=PLANE('',#3683); +#3312=PLANE('',#3686); +#3313=PLANE('',#3687); +#3314=PLANE('',#3690); +#3315=PLANE('',#3691); +#3316=PLANE('',#3692); +#3317=PLANE('',#3693); +#3318=PLANE('',#3694); +#3319=PLANE('',#3695); +#3320=PLANE('',#3696); +#3321=PLANE('',#3701); +#3322=PLANE('',#3702); +#3323=PLANE('',#3703); +#3324=PLANE('',#3704); +#3325=PLANE('',#3705); +#3326=PLANE('',#3706); +#3327=PLANE('',#3707); +#3328=PLANE('',#3712); +#3329=PLANE('',#3713); +#3330=PLANE('',#3716); +#3331=PLANE('',#3717); +#3332=PLANE('',#3720); +#3333=PLANE('',#3721); +#3334=PLANE('',#3722); +#3335=PLANE('',#3723); +#3336=PLANE('',#3724); +#3337=PLANE('',#3725); +#3338=PLANE('',#3726); +#3339=PLANE('',#3731); +#3340=PLANE('',#3732); +#3341=PLANE('',#3733); +#3342=PLANE('',#3734); +#3343=PLANE('',#3735); +#3344=PLANE('',#3736); +#3345=PLANE('',#3737); +#3346=PLANE('',#3742); +#3347=PLANE('',#3743); +#3348=PLANE('',#3746); +#3349=PLANE('',#3747); +#3350=PLANE('',#3750); +#3351=PLANE('',#3751); +#3352=PLANE('',#3752); +#3353=PLANE('',#3753); +#3354=PLANE('',#3754); +#3355=PLANE('',#3755); +#3356=PLANE('',#3756); +#3357=PLANE('',#3761); +#3358=PLANE('',#3762); +#3359=PLANE('',#3763); +#3360=PLANE('',#3764); +#3361=PLANE('',#3765); +#3362=PLANE('',#3766); +#3363=PLANE('',#3767); +#3364=PLANE('',#3772); +#3365=PLANE('',#3773); +#3366=PLANE('',#3776); +#3367=PLANE('',#3777); +#3368=PLANE('',#3780); +#3369=ADVANCED_FACE('',(#83),#3199,.F.); +#3370=ADVANCED_FACE('',(#84),#3200,.F.); +#3371=ADVANCED_FACE('',(#85),#3201,.F.); +#3372=ADVANCED_FACE('',(#86),#3202,.F.); +#3373=ADVANCED_FACE('',(#87),#3203,.F.); +#3374=ADVANCED_FACE('',(#88),#3204,.F.); +#3375=ADVANCED_FACE('',(#89),#3205,.F.); +#3376=ADVANCED_FACE('',(#90),#3206,.F.); +#3377=ADVANCED_FACE('',(#91),#3207,.T.); +#3378=ADVANCED_FACE('',(#92),#3208,.T.); +#3379=ADVANCED_FACE('',(#93),#3209,.T.); +#3380=ADVANCED_FACE('',(#94),#3210,.T.); +#3381=ADVANCED_FACE('',(#95),#3211,.T.); +#3382=ADVANCED_FACE('',(#96),#3212,.T.); +#3383=ADVANCED_FACE('',(#97),#3213,.T.); +#3384=ADVANCED_FACE('',(#98),#3214,.T.); +#3385=ADVANCED_FACE('',(#99),#3215,.T.); +#3386=ADVANCED_FACE('',(#100),#3216,.T.); +#3387=ADVANCED_FACE('',(#101),#3217,.T.); +#3388=ADVANCED_FACE('',(#102),#3218,.T.); +#3389=ADVANCED_FACE('',(#103),#3219,.T.); +#3390=ADVANCED_FACE('',(#104),#3220,.T.); +#3391=ADVANCED_FACE('',(#105),#3221,.T.); +#3392=ADVANCED_FACE('',(#106),#3222,.T.); +#3393=ADVANCED_FACE('',(#107),#3223,.T.); +#3394=ADVANCED_FACE('',(#108),#3224,.T.); +#3395=ADVANCED_FACE('',(#109),#3225,.F.); +#3396=ADVANCED_FACE('',(#110),#3226,.F.); +#3397=ADVANCED_FACE('',(#111),#3227,.F.); +#3398=ADVANCED_FACE('',(#112),#3228,.F.); +#3399=ADVANCED_FACE('',(#113),#3229,.T.); +#3400=ADVANCED_FACE('',(#114),#3230,.F.); +#3401=ADVANCED_FACE('',(#115),#3231,.F.); +#3402=ADVANCED_FACE('',(#116),#3232,.F.); +#3403=ADVANCED_FACE('',(#117),#3233,.T.); +#3404=ADVANCED_FACE('',(#118),#3234,.F.); +#3405=ADVANCED_FACE('',(#119),#3235,.F.); +#3406=ADVANCED_FACE('',(#120),#3236,.F.); +#3407=ADVANCED_FACE('',(#121),#3237,.F.); +#3408=ADVANCED_FACE('',(#122),#3238,.F.); +#3409=ADVANCED_FACE('',(#123),#3239,.F.); +#3410=ADVANCED_FACE('',(#124),#3240,.F.); +#3411=ADVANCED_FACE('',(#125),#3241,.F.); +#3412=ADVANCED_FACE('',(#126),#3242,.F.); +#3413=ADVANCED_FACE('',(#127),#3243,.F.); +#3414=ADVANCED_FACE('',(#128,#75),#3244,.F.); +#3415=ADVANCED_FACE('',(#129,#76,#77,#78,#79),#3245,.F.); +#3416=ADVANCED_FACE('',(#130),#3246,.T.); +#3417=ADVANCED_FACE('',(#131),#3247,.T.); +#3418=ADVANCED_FACE('',(#132),#3248,.T.); +#3419=ADVANCED_FACE('',(#133),#3249,.T.); +#3420=ADVANCED_FACE('',(#134),#3250,.F.); +#3421=ADVANCED_FACE('',(#135),#3251,.F.); +#3422=ADVANCED_FACE('',(#136),#3252,.T.); +#3423=ADVANCED_FACE('',(#137),#3253,.F.); +#3424=ADVANCED_FACE('',(#138),#3254,.F.); +#3425=ADVANCED_FACE('',(#139),#3255,.F.); +#3426=ADVANCED_FACE('',(#140),#3256,.F.); +#3427=ADVANCED_FACE('',(#141),#3257,.F.); +#3428=ADVANCED_FACE('',(#142,#80),#3258,.F.); +#3429=ADVANCED_FACE('',(#143),#3259,.F.); +#3430=ADVANCED_FACE('',(#144),#3260,.T.); +#3431=ADVANCED_FACE('',(#145),#3261,.F.); +#3432=ADVANCED_FACE('',(#146),#3262,.F.); +#3433=ADVANCED_FACE('',(#147),#3263,.F.); +#3434=ADVANCED_FACE('',(#148),#3264,.F.); +#3435=ADVANCED_FACE('',(#149),#3265,.F.); +#3436=ADVANCED_FACE('',(#150,#81),#3266,.F.); +#3437=ADVANCED_FACE('',(#151),#3267,.F.); +#3438=ADVANCED_FACE('',(#152),#3268,.T.); +#3439=ADVANCED_FACE('',(#153),#3269,.F.); +#3440=ADVANCED_FACE('',(#154),#3270,.F.); +#3441=ADVANCED_FACE('',(#155),#3271,.F.); +#3442=ADVANCED_FACE('',(#156),#3272,.F.); +#3443=ADVANCED_FACE('',(#157),#3273,.F.); +#3444=ADVANCED_FACE('',(#158,#82),#3274,.F.); +#3445=ADVANCED_FACE('',(#159),#3275,.F.); +#3446=ADVANCED_FACE('',(#160),#3276,.F.); +#3447=ADVANCED_FACE('',(#161),#3277,.F.); +#3448=ADVANCED_FACE('',(#162),#3278,.F.); +#3449=ADVANCED_FACE('',(#163),#3279,.F.); +#3450=ADVANCED_FACE('',(#164),#3280,.F.); +#3451=ADVANCED_FACE('',(#165),#3281,.F.); +#3452=ADVANCED_FACE('',(#166),#3282,.F.); +#3453=ADVANCED_FACE('',(#167),#3283,.F.); +#3454=ADVANCED_FACE('',(#168),#3284,.T.); +#3455=ADVANCED_FACE('',(#169),#3285,.T.); +#3456=ADVANCED_FACE('',(#170),#3286,.T.); +#3457=ADVANCED_FACE('',(#171),#3287,.T.); +#3458=ADVANCED_FACE('',(#172),#3288,.T.); +#3459=ADVANCED_FACE('',(#173),#3289,.F.); +#3460=ADVANCED_FACE('',(#174),#3290,.F.); +#3461=ADVANCED_FACE('',(#175),#3291,.F.); +#3462=ADVANCED_FACE('',(#176),#3292,.F.); +#3463=ADVANCED_FACE('',(#177),#3293,.F.); +#3464=ADVANCED_FACE('',(#178),#3294,.F.); +#3465=ADVANCED_FACE('',(#179),#3295,.T.); +#3466=ADVANCED_FACE('',(#180),#3296,.T.); +#3467=ADVANCED_FACE('',(#181),#3297,.T.); +#3468=ADVANCED_FACE('',(#182),#3298,.T.); +#3469=ADVANCED_FACE('',(#183),#3299,.T.); +#3470=ADVANCED_FACE('',(#184),#3300,.T.); +#3471=ADVANCED_FACE('',(#185),#3301,.T.); +#3472=ADVANCED_FACE('',(#186),#3302,.T.); +#3473=ADVANCED_FACE('',(#187),#3303,.F.); +#3474=ADVANCED_FACE('',(#188),#3304,.T.); +#3475=ADVANCED_FACE('',(#189),#3305,.T.); +#3476=ADVANCED_FACE('',(#190),#3306,.T.); +#3477=ADVANCED_FACE('',(#191),#3307,.T.); +#3478=ADVANCED_FACE('',(#192),#3308,.T.); +#3479=ADVANCED_FACE('',(#193),#3309,.T.); +#3480=ADVANCED_FACE('',(#194),#3310,.T.); +#3481=ADVANCED_FACE('',(#195),#3311,.T.); +#3482=ADVANCED_FACE('',(#196),#13,.T.); +#3483=ADVANCED_FACE('',(#197),#14,.F.); +#3484=ADVANCED_FACE('',(#198),#3312,.T.); +#3485=ADVANCED_FACE('',(#199),#3313,.T.); +#3486=ADVANCED_FACE('',(#200),#15,.F.); +#3487=ADVANCED_FACE('',(#201),#16,.T.); +#3488=ADVANCED_FACE('',(#202),#3314,.T.); +#3489=ADVANCED_FACE('',(#203),#3315,.T.); +#3490=ADVANCED_FACE('',(#204),#3316,.T.); +#3491=ADVANCED_FACE('',(#205),#3317,.T.); +#3492=ADVANCED_FACE('',(#206),#3318,.T.); +#3493=ADVANCED_FACE('',(#207),#3319,.T.); +#3494=ADVANCED_FACE('',(#208),#3320,.T.); +#3495=ADVANCED_FACE('',(#209),#3321,.F.); +#3496=ADVANCED_FACE('',(#210),#3322,.T.); +#3497=ADVANCED_FACE('',(#211),#3323,.T.); +#3498=ADVANCED_FACE('',(#212),#3324,.T.); +#3499=ADVANCED_FACE('',(#213),#3325,.T.); +#3500=ADVANCED_FACE('',(#214),#3326,.T.); +#3501=ADVANCED_FACE('',(#215),#3327,.T.); +#3502=ADVANCED_FACE('',(#216),#3328,.T.); +#3503=ADVANCED_FACE('',(#217),#3329,.T.); +#3504=ADVANCED_FACE('',(#218),#17,.T.); +#3505=ADVANCED_FACE('',(#219),#18,.F.); +#3506=ADVANCED_FACE('',(#220),#3330,.T.); +#3507=ADVANCED_FACE('',(#221),#3331,.T.); +#3508=ADVANCED_FACE('',(#222),#19,.F.); +#3509=ADVANCED_FACE('',(#223),#20,.T.); +#3510=ADVANCED_FACE('',(#224),#3332,.T.); +#3511=ADVANCED_FACE('',(#225),#3333,.T.); +#3512=ADVANCED_FACE('',(#226),#3334,.T.); +#3513=ADVANCED_FACE('',(#227),#3335,.T.); +#3514=ADVANCED_FACE('',(#228),#3336,.T.); +#3515=ADVANCED_FACE('',(#229),#3337,.T.); +#3516=ADVANCED_FACE('',(#230),#3338,.T.); +#3517=ADVANCED_FACE('',(#231),#3339,.F.); +#3518=ADVANCED_FACE('',(#232),#3340,.T.); +#3519=ADVANCED_FACE('',(#233),#3341,.T.); +#3520=ADVANCED_FACE('',(#234),#3342,.T.); +#3521=ADVANCED_FACE('',(#235),#3343,.T.); +#3522=ADVANCED_FACE('',(#236),#3344,.T.); +#3523=ADVANCED_FACE('',(#237),#3345,.T.); +#3524=ADVANCED_FACE('',(#238),#3346,.T.); +#3525=ADVANCED_FACE('',(#239),#3347,.T.); +#3526=ADVANCED_FACE('',(#240),#21,.T.); +#3527=ADVANCED_FACE('',(#241),#22,.F.); +#3528=ADVANCED_FACE('',(#242),#3348,.T.); +#3529=ADVANCED_FACE('',(#243),#3349,.T.); +#3530=ADVANCED_FACE('',(#244),#23,.F.); +#3531=ADVANCED_FACE('',(#245),#24,.T.); +#3532=ADVANCED_FACE('',(#246),#3350,.T.); +#3533=ADVANCED_FACE('',(#247),#3351,.T.); +#3534=ADVANCED_FACE('',(#248),#3352,.T.); +#3535=ADVANCED_FACE('',(#249),#3353,.T.); +#3536=ADVANCED_FACE('',(#250),#3354,.T.); +#3537=ADVANCED_FACE('',(#251),#3355,.T.); +#3538=ADVANCED_FACE('',(#252),#3356,.T.); +#3539=ADVANCED_FACE('',(#253),#3357,.F.); +#3540=ADVANCED_FACE('',(#254),#3358,.T.); +#3541=ADVANCED_FACE('',(#255),#3359,.T.); +#3542=ADVANCED_FACE('',(#256),#3360,.T.); +#3543=ADVANCED_FACE('',(#257),#3361,.T.); +#3544=ADVANCED_FACE('',(#258),#3362,.T.); +#3545=ADVANCED_FACE('',(#259),#3363,.T.); +#3546=ADVANCED_FACE('',(#260),#3364,.T.); +#3547=ADVANCED_FACE('',(#261),#3365,.T.); +#3548=ADVANCED_FACE('',(#262),#25,.T.); +#3549=ADVANCED_FACE('',(#263),#26,.F.); +#3550=ADVANCED_FACE('',(#264),#3366,.T.); +#3551=ADVANCED_FACE('',(#265),#3367,.T.); +#3552=ADVANCED_FACE('',(#266),#27,.F.); +#3553=ADVANCED_FACE('',(#267),#28,.T.); +#3554=ADVANCED_FACE('',(#268),#3368,.T.); +#3555=CLOSED_SHELL('',(#3369,#3370,#3371,#3372,#3373,#3374,#3375,#3376, +#3377,#3378,#3379,#3380,#3381,#3382,#3383,#3384,#3385,#3386,#3387,#3388, +#3389,#3390,#3391,#3392,#3393,#3394,#3395,#3396,#3397,#3398,#3399,#3400, +#3401,#3402,#3403,#3404,#3405,#3406,#3407,#3408,#3409,#3410,#3411,#3412, +#3413,#3414,#3415,#3416,#3417,#3418,#3419,#3420,#3421,#3422,#3423,#3424, +#3425,#3426,#3427,#3428,#3429,#3430,#3431,#3432,#3433,#3434,#3435,#3436, +#3437,#3438,#3439,#3440,#3441,#3442,#3443,#3444,#3445,#3446,#3447,#3448, +#3449,#3450,#3451,#3452,#3453,#3454)); +#3556=CLOSED_SHELL('',(#3455,#3456,#3457,#3458,#3459,#3460)); +#3557=CLOSED_SHELL('',(#3461,#3462,#3463,#3464,#3465,#3466)); +#3558=CLOSED_SHELL('',(#3467,#3468,#3469,#3470,#3471,#3472,#3473,#3474, +#3475,#3476,#3477,#3478,#3479,#3480,#3481,#3482,#3483,#3484,#3485,#3486, +#3487,#3488)); +#3559=CLOSED_SHELL('',(#3489,#3490,#3491,#3492,#3493,#3494,#3495,#3496, +#3497,#3498,#3499,#3500,#3501,#3502,#3503,#3504,#3505,#3506,#3507,#3508, +#3509,#3510)); +#3560=CLOSED_SHELL('',(#3511,#3512,#3513,#3514,#3515,#3516,#3517,#3518, +#3519,#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527,#3528,#3529,#3530, +#3531,#3532)); +#3561=CLOSED_SHELL('',(#3533,#3534,#3535,#3536,#3537,#3538,#3539,#3540, +#3541,#3542,#3543,#3544,#3545,#3546,#3547,#3548,#3549,#3550,#3551,#3552, +#3553,#3554)); +#3562=AXIS2_PLACEMENT_3D('placement',#4683,#3781,#3782); +#3563=AXIS2_PLACEMENT_3D('',#4684,#3783,#3784); +#3564=AXIS2_PLACEMENT_3D('',#4693,#3789,#3790); +#3565=AXIS2_PLACEMENT_3D('',#4699,#3794,#3795); +#3566=AXIS2_PLACEMENT_3D('',#4708,#3800,#3801); +#3567=AXIS2_PLACEMENT_3D('',#4718,#3807,#3808); +#3568=AXIS2_PLACEMENT_3D('',#4731,#3815,#3816); +#3569=AXIS2_PLACEMENT_3D('',#4734,#3819,#3820); +#3570=AXIS2_PLACEMENT_3D('',#4744,#3826,#3827); +#3571=AXIS2_PLACEMENT_3D('',#4754,#3833,#3834); +#3572=AXIS2_PLACEMENT_3D('',#4762,#3839,#3840); +#3573=AXIS2_PLACEMENT_3D('',#4770,#3845,#3846); +#3574=AXIS2_PLACEMENT_3D('',#4785,#3855,#3856); +#3575=AXIS2_PLACEMENT_3D('',#4798,#3863,#3864); +#3576=AXIS2_PLACEMENT_3D('',#4804,#3868,#3869); +#3577=AXIS2_PLACEMENT_3D('',#4808,#3872,#3873); +#3578=AXIS2_PLACEMENT_3D('',#4821,#3881,#3882); +#3579=AXIS2_PLACEMENT_3D('',#4825,#3885,#3886); +#3580=AXIS2_PLACEMENT_3D('',#4829,#3889,#3890); +#3581=AXIS2_PLACEMENT_3D('',#4832,#3893,#3894); +#3582=AXIS2_PLACEMENT_3D('',#4833,#3895,#3896); +#3583=AXIS2_PLACEMENT_3D('',#4841,#3901,#3902); +#3584=AXIS2_PLACEMENT_3D('',#4850,#3907,#3908); +#3585=AXIS2_PLACEMENT_3D('',#4860,#3914,#3915); +#3586=AXIS2_PLACEMENT_3D('',#4870,#3921,#3922); +#3587=AXIS2_PLACEMENT_3D('',#4877,#3927,#3928); +#3588=AXIS2_PLACEMENT_3D('',#4883,#3932,#3933); +#3589=AXIS2_PLACEMENT_3D('',#4923,#3955,#3956); +#3590=AXIS2_PLACEMENT_3D('',#4937,#3965,#3966); +#3591=AXIS2_PLACEMENT_3D('',#4943,#3970,#3971); +#3592=AXIS2_PLACEMENT_3D('',#4945,#3973,#3974); +#3593=AXIS2_PLACEMENT_3D('',#4947,#3976,#3977); +#3594=AXIS2_PLACEMENT_3D('',#4953,#3981,#3982); +#3595=AXIS2_PLACEMENT_3D('',#4962,#3987,#3988); +#3596=AXIS2_PLACEMENT_3D('',#4968,#3992,#3993); +#3597=AXIS2_PLACEMENT_3D('',#4976,#3998,#3999); +#3598=AXIS2_PLACEMENT_3D('',#4989,#4007,#4008); +#3599=AXIS2_PLACEMENT_3D('',#4991,#4010,#4011); +#3600=AXIS2_PLACEMENT_3D('',#4995,#4014,#4015); +#3601=AXIS2_PLACEMENT_3D('',#4999,#4018,#4019); +#3602=AXIS2_PLACEMENT_3D('',#5001,#4021,#4022); +#3603=AXIS2_PLACEMENT_3D('',#5014,#4029,#4030); +#3604=AXIS2_PLACEMENT_3D('',#5018,#4034,#4035); +#3605=AXIS2_PLACEMENT_3D('',#5022,#4039,#4040); +#3606=AXIS2_PLACEMENT_3D('',#5023,#4041,#4042); +#3607=AXIS2_PLACEMENT_3D('',#5025,#4044,#4045); +#3608=AXIS2_PLACEMENT_3D('',#5026,#4046,#4047); +#3609=AXIS2_PLACEMENT_3D('',#5040,#4055,#4056); +#3610=AXIS2_PLACEMENT_3D('',#5074,#4074,#4075); +#3611=AXIS2_PLACEMENT_3D('',#5083,#4080,#4081); +#3612=AXIS2_PLACEMENT_3D('',#5086,#4084,#4085); +#3613=AXIS2_PLACEMENT_3D('',#5088,#4087,#4088); +#3614=AXIS2_PLACEMENT_3D('',#5095,#4093,#4094); +#3615=AXIS2_PLACEMENT_3D('',#5099,#4097,#4098); +#3616=AXIS2_PLACEMENT_3D('',#5101,#4100,#4101); +#3617=AXIS2_PLACEMENT_3D('',#5105,#4104,#4105); +#3618=AXIS2_PLACEMENT_3D('',#5108,#4108,#4109); +#3619=AXIS2_PLACEMENT_3D('',#5110,#4111,#4112); +#3620=AXIS2_PLACEMENT_3D('',#5112,#4114,#4115); +#3621=AXIS2_PLACEMENT_3D('',#5113,#4116,#4117); +#3622=AXIS2_PLACEMENT_3D('',#5119,#4121,#4122); +#3623=AXIS2_PLACEMENT_3D('',#5131,#4129,#4130); +#3624=AXIS2_PLACEMENT_3D('',#5133,#4132,#4133); +#3625=AXIS2_PLACEMENT_3D('',#5137,#4136,#4137); +#3626=AXIS2_PLACEMENT_3D('',#5140,#4140,#4141); +#3627=AXIS2_PLACEMENT_3D('',#5142,#4143,#4144); +#3628=AXIS2_PLACEMENT_3D('',#5144,#4146,#4147); +#3629=AXIS2_PLACEMENT_3D('',#5145,#4148,#4149); +#3630=AXIS2_PLACEMENT_3D('',#5151,#4153,#4154); +#3631=AXIS2_PLACEMENT_3D('',#5163,#4161,#4162); +#3632=AXIS2_PLACEMENT_3D('',#5165,#4164,#4165); +#3633=AXIS2_PLACEMENT_3D('',#5169,#4168,#4169); +#3634=AXIS2_PLACEMENT_3D('',#5172,#4172,#4173); +#3635=AXIS2_PLACEMENT_3D('',#5174,#4175,#4176); +#3636=AXIS2_PLACEMENT_3D('',#5176,#4178,#4179); +#3637=AXIS2_PLACEMENT_3D('',#5177,#4180,#4181); +#3638=AXIS2_PLACEMENT_3D('',#5181,#4184,#4185); +#3639=AXIS2_PLACEMENT_3D('',#5193,#4192,#4193); +#3640=AXIS2_PLACEMENT_3D('',#5195,#4195,#4196); +#3641=AXIS2_PLACEMENT_3D('',#5198,#4199,#4200); +#3642=AXIS2_PLACEMENT_3D('',#5201,#4203,#4204); +#3643=AXIS2_PLACEMENT_3D('',#5203,#4206,#4207); +#3644=AXIS2_PLACEMENT_3D('',#5205,#4209,#4210); +#3645=AXIS2_PLACEMENT_3D('',#5206,#4211,#4212); +#3646=AXIS2_PLACEMENT_3D('',#5207,#4213,#4214); +#3647=AXIS2_PLACEMENT_3D('',#5208,#4215,#4216); +#3648=AXIS2_PLACEMENT_3D('',#5209,#4217,#4218); +#3649=AXIS2_PLACEMENT_3D('',#5210,#4219,#4220); +#3650=AXIS2_PLACEMENT_3D('',#5219,#4225,#4226); +#3651=AXIS2_PLACEMENT_3D('',#5225,#4230,#4231); +#3652=AXIS2_PLACEMENT_3D('',#5231,#4235,#4236); +#3653=AXIS2_PLACEMENT_3D('',#5234,#4239,#4240); +#3654=AXIS2_PLACEMENT_3D('',#5235,#4241,#4242); +#3655=AXIS2_PLACEMENT_3D('',#5236,#4243,#4244); +#3656=AXIS2_PLACEMENT_3D('',#5245,#4249,#4250); +#3657=AXIS2_PLACEMENT_3D('',#5251,#4254,#4255); +#3658=AXIS2_PLACEMENT_3D('',#5257,#4259,#4260); +#3659=AXIS2_PLACEMENT_3D('',#5260,#4263,#4264); +#3660=AXIS2_PLACEMENT_3D('',#5261,#4265,#4266); +#3661=AXIS2_PLACEMENT_3D('',#5262,#4267,#4268); +#3662=AXIS2_PLACEMENT_3D('',#5271,#4273,#4274); +#3663=AXIS2_PLACEMENT_3D('',#5277,#4278,#4279); +#3664=AXIS2_PLACEMENT_3D('',#5283,#4283,#4284); +#3665=AXIS2_PLACEMENT_3D('',#5286,#4287,#4288); +#3666=AXIS2_PLACEMENT_3D('',#5292,#4292,#4293); +#3667=AXIS2_PLACEMENT_3D('',#5296,#4295,#4296); +#3668=AXIS2_PLACEMENT_3D('',#5300,#4298,#4299); +#3669=AXIS2_PLACEMENT_3D('',#5308,#4303,#4304); +#3670=AXIS2_PLACEMENT_3D('',#5311,#4306,#4307); +#3671=AXIS2_PLACEMENT_3D('',#5312,#4308,#4309); +#3672=AXIS2_PLACEMENT_3D('',#5313,#4310,#4311); +#3673=AXIS2_PLACEMENT_3D('',#5322,#4316,#4317); +#3674=AXIS2_PLACEMENT_3D('',#5327,#4321,#4322); +#3675=AXIS2_PLACEMENT_3D('',#5332,#4326,#4327); +#3676=AXIS2_PLACEMENT_3D('',#5334,#4329,#4330); +#3677=AXIS2_PLACEMENT_3D('',#5338,#4333,#4334); +#3678=AXIS2_PLACEMENT_3D('',#5340,#4335,#4336); +#3679=AXIS2_PLACEMENT_3D('',#5343,#4338,#4339); +#3680=AXIS2_PLACEMENT_3D('',#5347,#4341,#4342); +#3681=AXIS2_PLACEMENT_3D('',#5351,#4344,#4345); +#3682=AXIS2_PLACEMENT_3D('',#5353,#4347,#4348); +#3683=AXIS2_PLACEMENT_3D('',#5354,#4349,#4350); +#3684=AXIS2_PLACEMENT_3D('',#5356,#4352,#4353); +#3685=AXIS2_PLACEMENT_3D('',#5358,#4355,#4356); +#3686=AXIS2_PLACEMENT_3D('',#5360,#4358,#4359); +#3687=AXIS2_PLACEMENT_3D('',#5362,#4361,#4362); +#3688=AXIS2_PLACEMENT_3D('',#5364,#4364,#4365); +#3689=AXIS2_PLACEMENT_3D('',#5365,#4366,#4367); +#3690=AXIS2_PLACEMENT_3D('',#5367,#4369,#4370); +#3691=AXIS2_PLACEMENT_3D('',#5368,#4371,#4372); +#3692=AXIS2_PLACEMENT_3D('',#5377,#4377,#4378); +#3693=AXIS2_PLACEMENT_3D('',#5383,#4382,#4383); +#3694=AXIS2_PLACEMENT_3D('',#5389,#4387,#4388); +#3695=AXIS2_PLACEMENT_3D('',#5392,#4391,#4392); +#3696=AXIS2_PLACEMENT_3D('',#5398,#4396,#4397); +#3697=AXIS2_PLACEMENT_3D('',#5402,#4399,#4400); +#3698=AXIS2_PLACEMENT_3D('',#5406,#4402,#4403); +#3699=AXIS2_PLACEMENT_3D('',#5414,#4407,#4408); +#3700=AXIS2_PLACEMENT_3D('',#5417,#4410,#4411); +#3701=AXIS2_PLACEMENT_3D('',#5418,#4412,#4413); +#3702=AXIS2_PLACEMENT_3D('',#5419,#4414,#4415); +#3703=AXIS2_PLACEMENT_3D('',#5428,#4420,#4421); +#3704=AXIS2_PLACEMENT_3D('',#5433,#4425,#4426); +#3705=AXIS2_PLACEMENT_3D('',#5438,#4430,#4431); +#3706=AXIS2_PLACEMENT_3D('',#5440,#4433,#4434); +#3707=AXIS2_PLACEMENT_3D('',#5444,#4437,#4438); +#3708=AXIS2_PLACEMENT_3D('',#5446,#4439,#4440); +#3709=AXIS2_PLACEMENT_3D('',#5449,#4442,#4443); +#3710=AXIS2_PLACEMENT_3D('',#5453,#4445,#4446); +#3711=AXIS2_PLACEMENT_3D('',#5457,#4448,#4449); +#3712=AXIS2_PLACEMENT_3D('',#5459,#4451,#4452); +#3713=AXIS2_PLACEMENT_3D('',#5460,#4453,#4454); +#3714=AXIS2_PLACEMENT_3D('',#5462,#4456,#4457); +#3715=AXIS2_PLACEMENT_3D('',#5464,#4459,#4460); +#3716=AXIS2_PLACEMENT_3D('',#5466,#4462,#4463); +#3717=AXIS2_PLACEMENT_3D('',#5468,#4465,#4466); +#3718=AXIS2_PLACEMENT_3D('',#5470,#4468,#4469); +#3719=AXIS2_PLACEMENT_3D('',#5471,#4470,#4471); +#3720=AXIS2_PLACEMENT_3D('',#5473,#4473,#4474); +#3721=AXIS2_PLACEMENT_3D('',#5474,#4475,#4476); +#3722=AXIS2_PLACEMENT_3D('',#5483,#4481,#4482); +#3723=AXIS2_PLACEMENT_3D('',#5489,#4486,#4487); +#3724=AXIS2_PLACEMENT_3D('',#5495,#4491,#4492); +#3725=AXIS2_PLACEMENT_3D('',#5498,#4495,#4496); +#3726=AXIS2_PLACEMENT_3D('',#5504,#4500,#4501); +#3727=AXIS2_PLACEMENT_3D('',#5508,#4503,#4504); +#3728=AXIS2_PLACEMENT_3D('',#5512,#4506,#4507); +#3729=AXIS2_PLACEMENT_3D('',#5520,#4511,#4512); +#3730=AXIS2_PLACEMENT_3D('',#5523,#4514,#4515); +#3731=AXIS2_PLACEMENT_3D('',#5524,#4516,#4517); +#3732=AXIS2_PLACEMENT_3D('',#5525,#4518,#4519); +#3733=AXIS2_PLACEMENT_3D('',#5534,#4524,#4525); +#3734=AXIS2_PLACEMENT_3D('',#5539,#4529,#4530); +#3735=AXIS2_PLACEMENT_3D('',#5544,#4534,#4535); +#3736=AXIS2_PLACEMENT_3D('',#5546,#4537,#4538); +#3737=AXIS2_PLACEMENT_3D('',#5550,#4541,#4542); +#3738=AXIS2_PLACEMENT_3D('',#5552,#4543,#4544); +#3739=AXIS2_PLACEMENT_3D('',#5555,#4546,#4547); +#3740=AXIS2_PLACEMENT_3D('',#5559,#4549,#4550); +#3741=AXIS2_PLACEMENT_3D('',#5563,#4552,#4553); +#3742=AXIS2_PLACEMENT_3D('',#5565,#4555,#4556); +#3743=AXIS2_PLACEMENT_3D('',#5566,#4557,#4558); +#3744=AXIS2_PLACEMENT_3D('',#5568,#4560,#4561); +#3745=AXIS2_PLACEMENT_3D('',#5570,#4563,#4564); +#3746=AXIS2_PLACEMENT_3D('',#5572,#4566,#4567); +#3747=AXIS2_PLACEMENT_3D('',#5574,#4569,#4570); +#3748=AXIS2_PLACEMENT_3D('',#5576,#4572,#4573); +#3749=AXIS2_PLACEMENT_3D('',#5577,#4574,#4575); +#3750=AXIS2_PLACEMENT_3D('',#5579,#4577,#4578); +#3751=AXIS2_PLACEMENT_3D('',#5580,#4579,#4580); +#3752=AXIS2_PLACEMENT_3D('',#5589,#4585,#4586); +#3753=AXIS2_PLACEMENT_3D('',#5595,#4590,#4591); +#3754=AXIS2_PLACEMENT_3D('',#5601,#4595,#4596); +#3755=AXIS2_PLACEMENT_3D('',#5604,#4599,#4600); +#3756=AXIS2_PLACEMENT_3D('',#5610,#4604,#4605); +#3757=AXIS2_PLACEMENT_3D('',#5614,#4607,#4608); +#3758=AXIS2_PLACEMENT_3D('',#5618,#4610,#4611); +#3759=AXIS2_PLACEMENT_3D('',#5626,#4615,#4616); +#3760=AXIS2_PLACEMENT_3D('',#5629,#4618,#4619); +#3761=AXIS2_PLACEMENT_3D('',#5630,#4620,#4621); +#3762=AXIS2_PLACEMENT_3D('',#5631,#4622,#4623); +#3763=AXIS2_PLACEMENT_3D('',#5640,#4628,#4629); +#3764=AXIS2_PLACEMENT_3D('',#5645,#4633,#4634); +#3765=AXIS2_PLACEMENT_3D('',#5650,#4638,#4639); +#3766=AXIS2_PLACEMENT_3D('',#5652,#4641,#4642); +#3767=AXIS2_PLACEMENT_3D('',#5656,#4645,#4646); +#3768=AXIS2_PLACEMENT_3D('',#5658,#4647,#4648); +#3769=AXIS2_PLACEMENT_3D('',#5661,#4650,#4651); +#3770=AXIS2_PLACEMENT_3D('',#5665,#4653,#4654); +#3771=AXIS2_PLACEMENT_3D('',#5669,#4656,#4657); +#3772=AXIS2_PLACEMENT_3D('',#5671,#4659,#4660); +#3773=AXIS2_PLACEMENT_3D('',#5672,#4661,#4662); +#3774=AXIS2_PLACEMENT_3D('',#5674,#4664,#4665); +#3775=AXIS2_PLACEMENT_3D('',#5676,#4667,#4668); +#3776=AXIS2_PLACEMENT_3D('',#5678,#4670,#4671); +#3777=AXIS2_PLACEMENT_3D('',#5680,#4673,#4674); +#3778=AXIS2_PLACEMENT_3D('',#5682,#4676,#4677); +#3779=AXIS2_PLACEMENT_3D('',#5683,#4678,#4679); +#3780=AXIS2_PLACEMENT_3D('',#5685,#4681,#4682); +#3781=DIRECTION('axis',(0.,0.,1.)); +#3782=DIRECTION('refdir',(1.,0.,0.)); +#3783=DIRECTION('center_axis',(0.,2.77555756156289E-16,-1.)); +#3784=DIRECTION('ref_axis',(0.,-1.,-2.77555756156289E-16)); +#3785=DIRECTION('',(-1.8503717077086E-15,-1.,-2.77555756156289E-16)); +#3786=DIRECTION('',(-1.,0.,0.)); +#3787=DIRECTION('',(0.,1.,2.77555756156289E-16)); +#3788=DIRECTION('',(-1.,0.,0.)); +#3789=DIRECTION('center_axis',(0.,1.,-5.55111512312578E-16)); +#3790=DIRECTION('ref_axis',(0.,-5.55111512312578E-16,-1.)); +#3791=DIRECTION('',(-1.02716263700653E-30,-5.55111512312578E-16,-1.)); +#3792=DIRECTION('',(1.,0.,0.)); +#3793=DIRECTION('',(0.,5.55111512312578E-16,1.)); +#3794=DIRECTION('center_axis',(0.,1.,-5.55111512312578E-16)); +#3795=DIRECTION('ref_axis',(0.,-5.55111512312578E-16,-1.)); +#3796=DIRECTION('',(3.08148791101957E-30,5.55111512312578E-16,1.)); +#3797=DIRECTION('',(-1.,0.,0.)); +#3798=DIRECTION('',(0.,-5.55111512312578E-16,-1.)); +#3799=DIRECTION('',(1.,0.,0.)); +#3800=DIRECTION('center_axis',(0.,-1.,0.)); +#3801=DIRECTION('ref_axis',(0.,0.,1.)); +#3802=DIRECTION('',(0.,0.,1.)); +#3803=DIRECTION('',(0.,0.,-1.)); +#3804=DIRECTION('',(1.,0.,0.)); +#3805=DIRECTION('',(0.,0.,-1.)); +#3806=DIRECTION('',(-1.,0.,0.)); +#3807=DIRECTION('center_axis',(0.,-1.,0.)); +#3808=DIRECTION('ref_axis',(0.,0.,1.)); +#3809=DIRECTION('',(-1.,0.,0.)); +#3810=DIRECTION('',(0.,0.,1.)); +#3811=DIRECTION('',(-1.,0.,0.)); +#3812=DIRECTION('',(0.,0.,-1.)); +#3813=DIRECTION('',(-1.,0.,0.)); +#3814=DIRECTION('',(0.,0.,-1.)); +#3815=DIRECTION('center_axis',(0.,2.77555756156289E-16,-1.)); +#3816=DIRECTION('ref_axis',(0.,-1.,-2.77555756156289E-16)); +#3817=DIRECTION('',(5.55111512312577E-15,1.,2.77555756156289E-16)); +#3818=DIRECTION('',(0.,-1.,-2.77555756156289E-16)); +#3819=DIRECTION('center_axis',(1.,-5.55111512312577E-15,0.)); +#3820=DIRECTION('ref_axis',(-5.55111512312577E-15,-1.,0.)); +#3821=DIRECTION('',(-5.55111512312577E-15,-1.,9.62964972193618E-33)); +#3822=DIRECTION('',(0.,0.,-1.)); +#3823=DIRECTION('',(-5.55111512312577E-15,-1.,-3.70074341541719E-15)); +#3824=DIRECTION('',(0.,0.,-1.)); +#3825=DIRECTION('',(-5.55111512312577E-15,-1.,9.62964972193618E-33)); +#3826=DIRECTION('center_axis',(-1.,1.8503717077086E-15,0.)); +#3827=DIRECTION('ref_axis',(1.8503717077086E-15,1.,0.)); +#3828=DIRECTION('',(1.8503717077086E-15,1.,-9.62964972193618E-33)); +#3829=DIRECTION('',(0.,0.,-1.)); +#3830=DIRECTION('',(1.8503717077086E-15,1.,3.70074341541719E-15)); +#3831=DIRECTION('',(0.,0.,-1.)); +#3832=DIRECTION('',(1.8503717077086E-15,1.,-9.62964972193618E-33)); +#3833=DIRECTION('center_axis',(0.,9.62964972193618E-33,1.)); +#3834=DIRECTION('ref_axis',(0.,-1.,9.62964972193618E-33)); +#3835=DIRECTION('',(1.,0.,0.)); +#3836=DIRECTION('',(-5.34552942018439E-48,1.,-9.62964972193618E-33)); +#3837=DIRECTION('',(1.,0.,0.)); +#3838=DIRECTION('',(0.,1.,-9.62964972193618E-33)); +#3839=DIRECTION('center_axis',(0.,9.62964972193618E-33,1.)); +#3840=DIRECTION('ref_axis',(0.,-1.,9.62964972193618E-33)); +#3841=DIRECTION('',(0.,-1.,9.62964972193618E-33)); +#3842=DIRECTION('',(1.,0.,0.)); +#3843=DIRECTION('',(-5.34552942018439E-48,-1.,9.62964972193618E-33)); +#3844=DIRECTION('',(1.,0.,0.)); +#3845=DIRECTION('center_axis',(0.,9.62964972193618E-33,1.)); +#3846=DIRECTION('ref_axis',(0.,-1.,9.62964972193618E-33)); +#3847=DIRECTION('',(-1.,2.17278495980934E-15,-2.09231580840551E-47)); +#3848=DIRECTION('',(0.,-1.,9.62964972193618E-33)); +#3849=DIRECTION('',(1.,0.,0.)); +#3850=DIRECTION('',(0.,1.,-9.62964972193618E-33)); +#3851=DIRECTION('',(1.,0.,0.)); +#3852=DIRECTION('',(0.,-1.,9.62964972193618E-33)); +#3853=DIRECTION('',(1.,0.,0.)); +#3854=DIRECTION('',(0.,1.,-9.62964972193618E-33)); +#3855=DIRECTION('center_axis',(1.,0.,0.)); +#3856=DIRECTION('ref_axis',(0.,0.,-1.)); +#3857=DIRECTION('',(0.,1.,0.)); +#3858=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#3859=DIRECTION('',(0.,1.,0.)); +#3860=DIRECTION('',(0.,0.,1.)); +#3861=DIRECTION('',(0.,1.,2.22044604925031E-16)); +#3862=DIRECTION('',(0.,5.84327907697451E-16,1.)); +#3863=DIRECTION('center_axis',(0.,0.,1.)); +#3864=DIRECTION('ref_axis',(0.,1.,0.)); +#3865=DIRECTION('',(0.,1.,0.)); +#3866=DIRECTION('',(-1.,0.,0.)); +#3867=DIRECTION('',(-1.,0.,0.)); +#3868=DIRECTION('center_axis',(0.,-1.,0.)); +#3869=DIRECTION('ref_axis',(0.,0.,1.)); +#3870=DIRECTION('',(1.,0.,0.)); +#3871=DIRECTION('',(0.,0.,1.)); +#3872=DIRECTION('center_axis',(0.,-1.,1.83697019872103E-16)); +#3873=DIRECTION('ref_axis',(0.,-1.83697019872103E-16,-1.)); +#3874=DIRECTION('',(0.,-1.83697019872103E-16,-1.)); +#3875=DIRECTION('',(-1.,0.,0.)); +#3876=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#3877=DIRECTION('',(1.,0.,0.)); +#3878=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#3879=DIRECTION('',(-1.,0.,0.)); +#3880=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#3881=DIRECTION('center_axis',(0.,0.,1.)); +#3882=DIRECTION('ref_axis',(0.,-1.,0.)); +#3883=DIRECTION('',(1.,0.,0.)); +#3884=DIRECTION('',(0.,1.,0.)); +#3885=DIRECTION('center_axis',(0.,-1.,5.84327907697451E-16)); +#3886=DIRECTION('ref_axis',(0.,-5.84327907697451E-16,-1.)); +#3887=DIRECTION('',(1.,0.,0.)); +#3888=DIRECTION('',(0.,5.84327907697451E-16,1.)); +#3889=DIRECTION('center_axis',(0.,-2.22044604925031E-16,1.)); +#3890=DIRECTION('ref_axis',(0.,-1.,-2.22044604925031E-16)); +#3891=DIRECTION('',(0.,-1.,-2.22044604925031E-16)); +#3892=DIRECTION('',(0.,1.,2.22044604925031E-16)); +#3893=DIRECTION('center_axis',(-1.,0.,0.)); +#3894=DIRECTION('ref_axis',(0.,0.,1.)); +#3895=DIRECTION('center_axis',(-1.,0.,0.)); +#3896=DIRECTION('ref_axis',(0.,0.,1.)); +#3897=DIRECTION('',(0.,2.77555756156289E-16,-1.)); +#3898=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#3899=DIRECTION('',(0.,-0.447213595499963,-0.894427190999913)); +#3900=DIRECTION('',(0.,-1.,-5.32907051820075E-15)); +#3901=DIRECTION('center_axis',(0.,0.,-1.)); +#3902=DIRECTION('ref_axis',(1.,0.,0.)); +#3903=DIRECTION('',(1.,0.,0.)); +#3904=DIRECTION('',(0.,-1.,0.)); +#3905=DIRECTION('',(-1.,0.,0.)); +#3906=DIRECTION('',(0.,-1.,0.)); +#3907=DIRECTION('center_axis',(-1.,0.,-2.51490041292032E-16)); +#3908=DIRECTION('ref_axis',(2.51490041292032E-16,1.58603289232165E-16,-1.)); +#3909=DIRECTION('',(2.51490041292032E-16,0.,-1.)); +#3910=DIRECTION('',(-2.51490041292032E-16,-2.77555756156289E-16,1.)); +#3911=DIRECTION('',(-5.28806883155957E-32,1.,2.10269512239613E-16)); +#3912=DIRECTION('',(2.51490041292032E-16,1.58603289232165E-16,-1.)); +#3913=DIRECTION('',(9.30700114354484E-31,-1.,-3.70074341541719E-15)); +#3914=DIRECTION('center_axis',(1.,0.,0.)); +#3915=DIRECTION('ref_axis',(0.,-1.58603289232165E-16,1.)); +#3916=DIRECTION('',(0.,0.,1.)); +#3917=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#3918=DIRECTION('',(0.,-1.58603289232165E-16,1.)); +#3919=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#3920=DIRECTION('',(0.,2.77555756156289E-16,-1.)); +#3921=DIRECTION('center_axis',(0.,1.,0.)); +#3922=DIRECTION('ref_axis',(0.,0.,-1.)); +#3923=DIRECTION('',(-1.,0.,0.)); +#3924=DIRECTION('',(1.,0.,0.)); +#3925=DIRECTION('',(-3.46452040250706E-8,0.,0.999999999999999)); +#3926=DIRECTION('',(1.,0.,0.)); +#3927=DIRECTION('center_axis',(1.,0.,-5.55111512312578E-16)); +#3928=DIRECTION('ref_axis',(5.55111512312578E-16,-1.58603289232165E-16, +1.)); +#3929=DIRECTION('',(5.55111512312578E-16,0.,1.)); +#3930=DIRECTION('',(0.,-1.,0.)); +#3931=DIRECTION('',(-5.55111512312578E-16,2.77555756156289E-16,-1.)); +#3932=DIRECTION('center_axis',(0.,1.,2.77555756156289E-16)); +#3933=DIRECTION('ref_axis',(0.,-2.77555756156289E-16,1.)); +#3934=DIRECTION('',(1.,0.,0.)); +#3935=DIRECTION('',(1.,0.,0.)); +#3936=DIRECTION('',(-5.55111512312578E-16,-2.77555756156289E-16,1.)); +#3937=DIRECTION('',(0.,-2.77555756156289E-16,1.)); +#3938=DIRECTION('',(1.,0.,0.)); +#3939=DIRECTION('',(0.,-2.77555756156289E-16,1.)); +#3940=DIRECTION('',(-1.,0.,0.)); +#3941=DIRECTION('',(-2.51490041292032E-16,2.77555756156289E-16,-1.)); +#3942=DIRECTION('',(-1.,0.,0.)); +#3943=DIRECTION('',(3.46452040250706E-8,2.77555756156289E-16,-0.999999999999999)); +#3944=DIRECTION('',(1.,0.,0.)); +#3945=DIRECTION('',(-2.12341533661904E-8,-2.77555756156289E-16,1.)); +#3946=DIRECTION('',(-1.,0.,0.)); +#3947=DIRECTION('',(3.46452040250706E-8,2.77555756156289E-16,-0.999999999999999)); +#3948=DIRECTION('',(1.,0.,0.)); +#3949=DIRECTION('',(-2.12341533661904E-8,-2.77555756156289E-16,1.)); +#3950=DIRECTION('',(-1.,0.,0.)); +#3951=DIRECTION('',(3.46452040250706E-8,2.77555756156289E-16,-0.999999999999999)); +#3952=DIRECTION('',(1.,0.,0.)); +#3953=DIRECTION('',(-2.12341533661904E-8,-2.77555756156289E-16,1.)); +#3954=DIRECTION('',(-1.,0.,0.)); +#3955=DIRECTION('center_axis',(0.,1.,0.)); +#3956=DIRECTION('ref_axis',(1.,0.,0.)); +#3957=DIRECTION('',(1.,0.,0.)); +#3958=DIRECTION('',(2.51490041292032E-16,0.,1.)); +#3959=DIRECTION('',(1.,0.,0.)); +#3960=DIRECTION('',(0.,0.,-1.)); +#3961=DIRECTION('',(1.,0.,0.)); +#3962=DIRECTION('',(5.55111512312578E-16,0.,-1.)); +#3963=DIRECTION('',(-1.,0.,0.)); +#3964=DIRECTION('',(1.,0.,0.)); +#3965=DIRECTION('center_axis',(0.,-0.894427190999913,0.447213595499963)); +#3966=DIRECTION('ref_axis',(0.,-0.447213595499963,-0.894427190999913)); +#3967=DIRECTION('',(-1.,0.,0.)); +#3968=DIRECTION('',(0.,-0.447213595499963,-0.894427190999913)); +#3969=DIRECTION('',(1.,0.,0.)); +#3970=DIRECTION('center_axis',(0.,-5.32907051820075E-15,1.)); +#3971=DIRECTION('ref_axis',(0.,-1.,-5.32907051820075E-15)); +#3972=DIRECTION('',(0.,-1.,-5.32907051820075E-15)); +#3973=DIRECTION('center_axis',(-1.,0.,0.)); +#3974=DIRECTION('ref_axis',(0.,0.,1.)); +#3975=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#3976=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#3977=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#3978=DIRECTION('',(1.20928180519771E-22,-1.,-3.70074341541719E-15)); +#3979=DIRECTION('',(-1.,0.,0.)); +#3980=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#3981=DIRECTION('center_axis',(0.,0.,-1.)); +#3982=DIRECTION('ref_axis',(0.,1.,0.)); +#3983=DIRECTION('',(0.,1.,0.)); +#3984=DIRECTION('',(-1.,0.,0.)); +#3985=DIRECTION('',(0.,1.,0.)); +#3986=DIRECTION('',(1.,0.,0.)); +#3987=DIRECTION('center_axis',(0.,1.,0.)); +#3988=DIRECTION('ref_axis',(0.,0.,1.)); +#3989=DIRECTION('',(1.,0.,0.)); +#3990=DIRECTION('',(0.,0.,1.)); +#3991=DIRECTION('',(0.,0.,1.)); +#3992=DIRECTION('center_axis',(1.,0.,0.)); +#3993=DIRECTION('ref_axis',(0.,0.,-1.)); +#3994=DIRECTION('',(0.,-1.,0.)); +#3995=DIRECTION('',(0.,-5.84327907697451E-16,-1.)); +#3996=DIRECTION('',(0.,-1.,-2.22044604925031E-16)); +#3997=DIRECTION('',(0.,-1.83697019872103E-16,-1.)); +#3998=DIRECTION('center_axis',(0.,-1.,1.83697019872103E-16)); +#3999=DIRECTION('ref_axis',(0.,-1.83697019872103E-16,-1.)); +#4000=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#4001=DIRECTION('',(0.,-1.83697019872103E-16,-1.)); +#4002=DIRECTION('',(-1.,0.,0.)); +#4003=DIRECTION('',(0.,1.83697019872103E-16,1.)); +#4004=DIRECTION('',(-1.,0.,0.)); +#4005=DIRECTION('',(0.,-1.83697019872103E-16,-1.)); +#4006=DIRECTION('',(-1.,0.,0.)); +#4007=DIRECTION('center_axis',(-1.,0.,0.)); +#4008=DIRECTION('ref_axis',(0.,0.,1.)); +#4009=DIRECTION('',(0.,-1.,-2.22044604925031E-16)); +#4010=DIRECTION('center_axis',(0.,0.,-1.)); +#4011=DIRECTION('ref_axis',(0.,-1.,0.)); +#4012=DIRECTION('',(0.,-1.,0.)); +#4013=DIRECTION('',(-1.,0.,0.)); +#4014=DIRECTION('center_axis',(0.,1.,-5.84327907697451E-16)); +#4015=DIRECTION('ref_axis',(0.,-5.84327907697451E-16,-1.)); +#4016=DIRECTION('',(0.,-5.84327907697451E-16,-1.)); +#4017=DIRECTION('',(-1.,0.,0.)); +#4018=DIRECTION('center_axis',(0.,2.22044604925031E-16,-1.)); +#4019=DIRECTION('ref_axis',(0.,-1.,-2.22044604925031E-16)); +#4020=DIRECTION('',(0.,-1.,-2.22044604925031E-16)); +#4021=DIRECTION('center_axis',(0.,-1.,0.)); +#4022=DIRECTION('ref_axis',(0.,0.,-1.)); +#4023=DIRECTION('',(-1.,0.,0.)); +#4024=DIRECTION('',(0.,-1.58603289232165E-16,1.)); +#4025=DIRECTION('',(1.,0.,0.)); +#4026=DIRECTION('',(2.12341533661904E-8,0.,-1.)); +#4027=DIRECTION('',(1.,0.,0.)); +#4028=DIRECTION('',(-2.51490041292032E-16,1.58603289232165E-16,-1.)); +#4029=DIRECTION('center_axis',(-1.,0.,2.51490041292032E-16)); +#4030=DIRECTION('ref_axis',(-2.51490041292032E-16,1.58603289232165E-16, +-1.)); +#4031=DIRECTION('',(9.30700114354484E-31,1.,3.70074341541719E-15)); +#4032=DIRECTION('',(-5.28806883155957E-32,-1.,-2.10269512239613E-16)); +#4033=DIRECTION('',(0.,-1.,0.)); +#4034=DIRECTION('center_axis',(1.,0.,0.)); +#4035=DIRECTION('ref_axis',(0.,-1.58603289232165E-16,1.)); +#4036=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4037=DIRECTION('',(0.,-1.,0.)); +#4038=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4039=DIRECTION('center_axis',(0.,0.,1.)); +#4040=DIRECTION('ref_axis',(-1.,0.,0.)); +#4041=DIRECTION('center_axis',(1.,0.,5.55111512312578E-16)); +#4042=DIRECTION('ref_axis',(-5.55111512312578E-16,-1.58603289232165E-16, +1.)); +#4043=DIRECTION('',(0.,-1.,0.)); +#4044=DIRECTION('center_axis',(0.,0.,-1.)); +#4045=DIRECTION('ref_axis',(1.,0.,0.)); +#4046=DIRECTION('center_axis',(0.,-2.10269512239613E-16,1.)); +#4047=DIRECTION('ref_axis',(-1.,0.,0.)); +#4048=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4049=DIRECTION('',(1.,0.,0.)); +#4050=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4051=DIRECTION('',(-1.,0.,0.)); +#4052=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4053=DIRECTION('',(1.,0.,0.)); +#4054=DIRECTION('',(0.,1.,2.10269512239613E-16)); +#4055=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#4056=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#4057=DIRECTION('',(-1.,2.17278495980934E-15,8.0409196331319E-30)); +#4058=DIRECTION('',(1.,0.,0.)); +#4059=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4060=DIRECTION('',(-1.,0.,0.)); +#4061=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4062=DIRECTION('',(1.,0.,0.)); +#4063=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4064=DIRECTION('',(-1.,0.,0.)); +#4065=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4066=DIRECTION('',(1.,0.,0.)); +#4067=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4068=DIRECTION('',(-1.,0.,0.)); +#4069=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4070=DIRECTION('',(1.,0.,0.)); +#4071=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4072=DIRECTION('',(-1.,0.,0.)); +#4073=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4074=DIRECTION('center_axis',(0.,0.894427190999913,-0.447213595499963)); +#4075=DIRECTION('ref_axis',(0.,-0.447213595499963,-0.894427190999913)); +#4076=DIRECTION('',(0.,-0.447213595499963,-0.894427190999913)); +#4077=DIRECTION('',(-1.,0.,0.)); +#4078=DIRECTION('',(0.,-0.447213595499963,-0.894427190999913)); +#4079=DIRECTION('',(-1.,0.,0.)); +#4080=DIRECTION('center_axis',(0.,5.32907051820075E-15,-1.)); +#4081=DIRECTION('ref_axis',(0.,-1.,-5.32907051820075E-15)); +#4082=DIRECTION('',(0.,-1.,-5.32907051820075E-15)); +#4083=DIRECTION('',(0.,-1.,-5.32907051820075E-15)); +#4084=DIRECTION('center_axis',(-1.,0.,0.)); +#4085=DIRECTION('ref_axis',(0.,0.,1.)); +#4086=DIRECTION('',(0.,-1.,-3.70074341541719E-15)); +#4087=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#4088=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#4089=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4090=DIRECTION('',(0.,1.,3.70074341541719E-15)); +#4091=DIRECTION('',(-1.,0.,0.)); +#4092=DIRECTION('',(-7.4117258180758E-23,1.,3.70074341541719E-15)); +#4093=DIRECTION('center_axis',(-0.999999999999999,7.28483015179346E-24, +-3.46452040250706E-8)); +#4094=DIRECTION('ref_axis',(3.46452040250706E-8,1.58603289232165E-16,-0.999999999999999)); +#4095=DIRECTION('',(-3.46452040250706E-8,0.,0.999999999999999)); +#4096=DIRECTION('',(1.20928180519771E-22,-1.,-3.70074341541719E-15)); +#4097=DIRECTION('center_axis',(1.,-4.46489507113E-24,2.12341533661904E-8)); +#4098=DIRECTION('ref_axis',(-2.12341533661904E-8,-1.58603289232165E-16, +1.)); +#4099=DIRECTION('',(2.12341533661904E-8,0.,-1.)); +#4100=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#4101=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#4102=DIRECTION('',(-1.,0.,0.)); +#4103=DIRECTION('',(-7.4117258180758E-23,1.,3.70074341541719E-15)); +#4104=DIRECTION('center_axis',(0.,1.,1.11022302462516E-15)); +#4105=DIRECTION('ref_axis',(1.,0.,0.)); +#4106=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4107=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4108=DIRECTION('center_axis',(1.,0.,0.)); +#4109=DIRECTION('ref_axis',(0.,-1.,-1.11022302462516E-15)); +#4110=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4111=DIRECTION('center_axis',(0.,-1.,-1.11022302462516E-15)); +#4112=DIRECTION('ref_axis',(-1.,0.,0.)); +#4113=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4114=DIRECTION('center_axis',(-1.,0.,0.)); +#4115=DIRECTION('ref_axis',(0.,1.,1.11022302462516E-15)); +#4116=DIRECTION('center_axis',(-0.999999999999999,7.28483015179346E-24, +-3.46452040250706E-8)); +#4117=DIRECTION('ref_axis',(3.46452040250706E-8,1.58603289232165E-16,-0.999999999999999)); +#4118=DIRECTION('',(-3.46452040250706E-8,0.,0.999999999999999)); +#4119=DIRECTION('',(1.20928180519771E-22,-1.,-3.70074341541719E-15)); +#4120=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4121=DIRECTION('center_axis',(0.,-2.10269512239613E-16,1.)); +#4122=DIRECTION('ref_axis',(-1.,0.,0.)); +#4123=DIRECTION('',(1.,0.,0.)); +#4124=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4125=DIRECTION('',(-1.,0.,0.)); +#4126=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4127=DIRECTION('',(1.,0.,0.)); +#4128=DIRECTION('',(0.,1.,2.10269512239613E-16)); +#4129=DIRECTION('center_axis',(1.,-4.46489507113E-24,2.12341533661904E-8)); +#4130=DIRECTION('ref_axis',(-2.12341533661904E-8,-1.58603289232165E-16, +1.)); +#4131=DIRECTION('',(2.12341533661904E-8,0.,-1.)); +#4132=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#4133=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#4134=DIRECTION('',(-1.,0.,0.)); +#4135=DIRECTION('',(-7.4117258180758E-23,1.,3.70074341541719E-15)); +#4136=DIRECTION('center_axis',(0.,1.,1.11022302462516E-15)); +#4137=DIRECTION('ref_axis',(1.,0.,0.)); +#4138=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4139=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4140=DIRECTION('center_axis',(1.,0.,0.)); +#4141=DIRECTION('ref_axis',(0.,-1.,-1.11022302462516E-15)); +#4142=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4143=DIRECTION('center_axis',(0.,-1.,-1.11022302462516E-15)); +#4144=DIRECTION('ref_axis',(-1.,0.,0.)); +#4145=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4146=DIRECTION('center_axis',(-1.,0.,0.)); +#4147=DIRECTION('ref_axis',(0.,1.,1.11022302462516E-15)); +#4148=DIRECTION('center_axis',(-0.999999999999999,7.28483015179346E-24, +-3.46452040250706E-8)); +#4149=DIRECTION('ref_axis',(3.46452040250706E-8,1.58603289232165E-16,-0.999999999999999)); +#4150=DIRECTION('',(-3.46452040250706E-8,0.,0.999999999999999)); +#4151=DIRECTION('',(1.20928180519771E-22,-1.,-3.70074341541719E-15)); +#4152=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4153=DIRECTION('center_axis',(0.,-2.10269512239613E-16,1.)); +#4154=DIRECTION('ref_axis',(-1.,0.,0.)); +#4155=DIRECTION('',(1.,0.,0.)); +#4156=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4157=DIRECTION('',(-1.,0.,0.)); +#4158=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4159=DIRECTION('',(1.,0.,0.)); +#4160=DIRECTION('',(0.,1.,2.10269512239613E-16)); +#4161=DIRECTION('center_axis',(1.,-4.46489507113E-24,2.12341533661904E-8)); +#4162=DIRECTION('ref_axis',(-2.12341533661904E-8,-1.58603289232165E-16, +1.)); +#4163=DIRECTION('',(2.12341533661904E-8,0.,-1.)); +#4164=DIRECTION('center_axis',(0.,3.70074341541719E-15,-1.)); +#4165=DIRECTION('ref_axis',(0.,1.,3.70074341541719E-15)); +#4166=DIRECTION('',(-1.,0.,0.)); +#4167=DIRECTION('',(-7.4117258180758E-23,1.,3.70074341541719E-15)); +#4168=DIRECTION('center_axis',(0.,1.,1.11022302462516E-15)); +#4169=DIRECTION('ref_axis',(1.,0.,0.)); +#4170=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4171=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4172=DIRECTION('center_axis',(1.,0.,0.)); +#4173=DIRECTION('ref_axis',(0.,-1.,-1.11022302462516E-15)); +#4174=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4175=DIRECTION('center_axis',(0.,-1.,-1.11022302462516E-15)); +#4176=DIRECTION('ref_axis',(-1.,0.,0.)); +#4177=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4178=DIRECTION('center_axis',(-1.,0.,0.)); +#4179=DIRECTION('ref_axis',(0.,1.,1.11022302462516E-15)); +#4180=DIRECTION('center_axis',(1.,-4.46489507113E-24,2.12341533661904E-8)); +#4181=DIRECTION('ref_axis',(-2.12341533661904E-8,-1.58603289232165E-16, +1.)); +#4182=DIRECTION('',(2.12341533661904E-8,0.,-1.)); +#4183=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4184=DIRECTION('center_axis',(0.,-2.10269512239613E-16,1.)); +#4185=DIRECTION('ref_axis',(-1.,0.,0.)); +#4186=DIRECTION('',(1.,0.,0.)); +#4187=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4188=DIRECTION('',(-1.,0.,0.)); +#4189=DIRECTION('',(0.,-1.,-2.10269512239613E-16)); +#4190=DIRECTION('',(1.,0.,0.)); +#4191=DIRECTION('',(0.,1.,2.10269512239613E-16)); +#4192=DIRECTION('center_axis',(-0.999999999999999,7.28483015179346E-24, +-3.46452040250706E-8)); +#4193=DIRECTION('ref_axis',(3.46452040250706E-8,1.58603289232165E-16,-0.999999999999999)); +#4194=DIRECTION('',(-3.46452040250706E-8,0.,0.999999999999999)); +#4195=DIRECTION('center_axis',(0.,1.,0.)); +#4196=DIRECTION('ref_axis',(1.,0.,0.)); +#4197=DIRECTION('',(0.,0.,-1.)); +#4198=DIRECTION('',(0.,0.,-1.)); +#4199=DIRECTION('center_axis',(0.,1.,1.11022302462516E-15)); +#4200=DIRECTION('ref_axis',(1.,0.,0.)); +#4201=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4202=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4203=DIRECTION('center_axis',(1.,0.,0.)); +#4204=DIRECTION('ref_axis',(0.,-1.,-1.11022302462516E-15)); +#4205=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4206=DIRECTION('center_axis',(0.,-1.,-1.11022302462516E-15)); +#4207=DIRECTION('ref_axis',(-1.,0.,0.)); +#4208=DIRECTION('',(0.,1.11022302462516E-15,-1.)); +#4209=DIRECTION('center_axis',(-1.,0.,0.)); +#4210=DIRECTION('ref_axis',(0.,1.,1.11022302462516E-15)); +#4211=DIRECTION('center_axis',(-1.,0.,0.)); +#4212=DIRECTION('ref_axis',(0.,1.,0.)); +#4213=DIRECTION('center_axis',(1.,0.,0.)); +#4214=DIRECTION('ref_axis',(0.,-1.,0.)); +#4215=DIRECTION('center_axis',(-2.17278495980934E-15,-1.,0.)); +#4216=DIRECTION('ref_axis',(-1.,2.17278495980934E-15,0.)); +#4217=DIRECTION('center_axis',(1.,0.,0.)); +#4218=DIRECTION('ref_axis',(0.,0.,-1.)); +#4219=DIRECTION('center_axis',(0.,1.,0.)); +#4220=DIRECTION('ref_axis',(0.,0.,1.)); +#4221=DIRECTION('',(0.,0.,1.)); +#4222=DIRECTION('',(1.,0.,0.)); +#4223=DIRECTION('',(0.,0.,1.)); +#4224=DIRECTION('',(1.,0.,0.)); +#4225=DIRECTION('center_axis',(0.,0.,-1.)); +#4226=DIRECTION('ref_axis',(0.,1.,0.)); +#4227=DIRECTION('',(0.,1.,0.)); +#4228=DIRECTION('',(1.,0.,0.)); +#4229=DIRECTION('',(0.,1.,0.)); +#4230=DIRECTION('center_axis',(0.,-1.,0.)); +#4231=DIRECTION('ref_axis',(0.,0.,-1.)); +#4232=DIRECTION('',(0.,0.,-1.)); +#4233=DIRECTION('',(1.,0.,0.)); +#4234=DIRECTION('',(0.,0.,-1.)); +#4235=DIRECTION('center_axis',(0.,0.,1.)); +#4236=DIRECTION('ref_axis',(0.,-1.,0.)); +#4237=DIRECTION('',(0.,-1.,0.)); +#4238=DIRECTION('',(0.,-1.,0.)); +#4239=DIRECTION('center_axis',(1.,0.,0.)); +#4240=DIRECTION('ref_axis',(0.,0.,-1.)); +#4241=DIRECTION('center_axis',(-1.,0.,0.)); +#4242=DIRECTION('ref_axis',(0.,0.,1.)); +#4243=DIRECTION('center_axis',(0.,-1.,0.)); +#4244=DIRECTION('ref_axis',(0.,0.,1.)); +#4245=DIRECTION('',(0.,0.,1.)); +#4246=DIRECTION('',(-1.,0.,0.)); +#4247=DIRECTION('',(0.,0.,1.)); +#4248=DIRECTION('',(-1.,0.,0.)); +#4249=DIRECTION('center_axis',(0.,0.,1.)); +#4250=DIRECTION('ref_axis',(0.,1.,0.)); +#4251=DIRECTION('',(0.,1.,0.)); +#4252=DIRECTION('',(0.,1.,0.)); +#4253=DIRECTION('',(-1.,0.,0.)); +#4254=DIRECTION('center_axis',(0.,1.,0.)); +#4255=DIRECTION('ref_axis',(0.,0.,-1.)); +#4256=DIRECTION('',(0.,0.,-1.)); +#4257=DIRECTION('',(0.,0.,-1.)); +#4258=DIRECTION('',(-1.,0.,0.)); +#4259=DIRECTION('center_axis',(0.,0.,-1.)); +#4260=DIRECTION('ref_axis',(0.,-1.,0.)); +#4261=DIRECTION('',(0.,-1.,0.)); +#4262=DIRECTION('',(0.,-1.,0.)); +#4263=DIRECTION('center_axis',(1.,0.,0.)); +#4264=DIRECTION('ref_axis',(0.,0.,-1.)); +#4265=DIRECTION('center_axis',(-1.,0.,0.)); +#4266=DIRECTION('ref_axis',(0.,0.,1.)); +#4267=DIRECTION('center_axis',(0.707106781186544,0.,-0.707106781186551)); +#4268=DIRECTION('ref_axis',(-0.707106781186551,0.,-0.707106781186544)); +#4269=DIRECTION('',(0.,1.,0.)); +#4270=DIRECTION('',(0.57735026918963,0.577350269189624,0.577350269189624)); +#4271=DIRECTION('',(0.,-1.,0.)); +#4272=DIRECTION('',(0.577350269189627,-0.577350269189629,0.577350269189621)); +#4273=DIRECTION('center_axis',(7.85046229341886E-16,0.707106781186544,-0.707106781186551)); +#4274=DIRECTION('ref_axis',(-1.,0.,-1.11022302462515E-15)); +#4275=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4276=DIRECTION('',(-0.577350269189629,0.577350269189627,0.577350269189622)); +#4277=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4278=DIRECTION('center_axis',(-0.707106781186544,0.,-0.707106781186551)); +#4279=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186544)); +#4280=DIRECTION('',(0.,-1.,0.)); +#4281=DIRECTION('',(-0.577350269189627,-0.577350269189629,0.577350269189621)); +#4282=DIRECTION('',(0.,1.,0.)); +#4283=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); +#4284=DIRECTION('ref_axis',(-1.,0.,0.)); +#4285=DIRECTION('',(-1.,0.,0.)); +#4286=DIRECTION('',(1.,0.,0.)); +#4287=DIRECTION('center_axis',(0.,-1.,-1.50030138462859E-16)); +#4288=DIRECTION('ref_axis',(-1.,0.,0.)); +#4289=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4290=DIRECTION('',(-1.,0.,0.)); +#4291=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4292=DIRECTION('center_axis',(1.,0.,0.)); +#4293=DIRECTION('ref_axis',(0.,-1.,0.)); +#4294=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4295=DIRECTION('center_axis',(1.,0.,0.)); +#4296=DIRECTION('ref_axis',(0.,-1.11022302462515E-15,1.)); +#4297=DIRECTION('',(0.,-1.,0.)); +#4298=DIRECTION('center_axis',(-1.,0.,0.)); +#4299=DIRECTION('ref_axis',(0.,1.,0.)); +#4300=DIRECTION('',(0.,0.,-1.)); +#4301=DIRECTION('',(0.,1.,0.)); +#4302=DIRECTION('',(0.,0.,-1.)); +#4303=DIRECTION('center_axis',(-1.,0.,0.)); +#4304=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4305=DIRECTION('',(0.,-1.,0.)); +#4306=DIRECTION('center_axis',(1.,0.,0.)); +#4307=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4308=DIRECTION('center_axis',(0.,0.,1.)); +#4309=DIRECTION('ref_axis',(1.,0.,0.)); +#4310=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); +#4311=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); +#4312=DIRECTION('',(0.,-1.,0.)); +#4313=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); +#4314=DIRECTION('',(0.,1.,0.)); +#4315=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); +#4316=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548)); +#4317=DIRECTION('ref_axis',(1.,0.,0.)); +#4318=DIRECTION('',(1.,0.,0.)); +#4319=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); +#4320=DIRECTION('',(-1.,0.,0.)); +#4321=DIRECTION('center_axis',(7.8504622934189E-16,0.707106781186547,0.707106781186548)); +#4322=DIRECTION('ref_axis',(1.,0.,-1.11022302462516E-15)); +#4323=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4324=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); +#4325=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4326=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); +#4327=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); +#4328=DIRECTION('',(0.,-1.,0.)); +#4329=DIRECTION('center_axis',(0.,-1.,0.)); +#4330=DIRECTION('ref_axis',(-1.,0.,0.)); +#4331=DIRECTION('',(0.,0.,-1.)); +#4332=DIRECTION('',(-1.,0.,0.)); +#4333=DIRECTION('center_axis',(-1.,0.,0.)); +#4334=DIRECTION('ref_axis',(0.,1.,0.)); +#4335=DIRECTION('center_axis',(1.,0.,0.)); +#4336=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4337=DIRECTION('',(0.,-1.,0.)); +#4338=DIRECTION('center_axis',(-1.,0.,0.)); +#4339=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4340=DIRECTION('',(0.,0.,-1.)); +#4341=DIRECTION('center_axis',(-1.,0.,0.)); +#4342=DIRECTION('ref_axis',(0.,1.,0.)); +#4343=DIRECTION('',(0.,-1.,0.)); +#4344=DIRECTION('center_axis',(1.,0.,0.)); +#4345=DIRECTION('ref_axis',(0.,3.88578058618806E-15,1.)); +#4346=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4347=DIRECTION('center_axis',(0.,0.,1.)); +#4348=DIRECTION('ref_axis',(1.,0.,0.)); +#4349=DIRECTION('center_axis',(1.11022302462516E-15,1.,1.50030138462859E-16)); +#4350=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4351=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4352=DIRECTION('center_axis',(1.,0.,0.)); +#4353=DIRECTION('ref_axis',(0.,0.,1.)); +#4354=DIRECTION('',(-1.,0.,0.)); +#4355=DIRECTION('center_axis',(1.,0.,0.)); +#4356=DIRECTION('ref_axis',(0.,0.,1.)); +#4357=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4358=DIRECTION('center_axis',(0.,0.,1.)); +#4359=DIRECTION('ref_axis',(-1.,0.,0.)); +#4360=DIRECTION('',(-1.,0.,0.)); +#4361=DIRECTION('center_axis',(1.11022302462516E-15,0.,-1.)); +#4362=DIRECTION('ref_axis',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4363=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4364=DIRECTION('center_axis',(-1.,0.,0.)); +#4365=DIRECTION('ref_axis',(0.,1.,0.)); +#4366=DIRECTION('center_axis',(-1.,0.,0.)); +#4367=DIRECTION('ref_axis',(0.,1.,0.)); +#4368=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4369=DIRECTION('center_axis',(1.11022302462516E-15,1.,0.)); +#4370=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4371=DIRECTION('center_axis',(0.707106781186544,0.,-0.707106781186551)); +#4372=DIRECTION('ref_axis',(-0.707106781186551,0.,-0.707106781186544)); +#4373=DIRECTION('',(0.,1.,0.)); +#4374=DIRECTION('',(0.57735026918963,0.577350269189624,0.577350269189624)); +#4375=DIRECTION('',(0.,-1.,0.)); +#4376=DIRECTION('',(0.577350269189627,-0.577350269189629,0.577350269189621)); +#4377=DIRECTION('center_axis',(7.85046229341886E-16,0.707106781186544,-0.707106781186551)); +#4378=DIRECTION('ref_axis',(-1.,0.,-1.11022302462515E-15)); +#4379=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4380=DIRECTION('',(-0.577350269189629,0.577350269189627,0.577350269189622)); +#4381=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4382=DIRECTION('center_axis',(-0.707106781186544,0.,-0.707106781186551)); +#4383=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186544)); +#4384=DIRECTION('',(0.,-1.,0.)); +#4385=DIRECTION('',(-0.577350269189627,-0.577350269189629,0.577350269189621)); +#4386=DIRECTION('',(0.,1.,0.)); +#4387=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); +#4388=DIRECTION('ref_axis',(-1.,0.,0.)); +#4389=DIRECTION('',(-1.,0.,0.)); +#4390=DIRECTION('',(1.,0.,0.)); +#4391=DIRECTION('center_axis',(0.,-1.,-1.50030138462859E-16)); +#4392=DIRECTION('ref_axis',(-1.,0.,0.)); +#4393=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4394=DIRECTION('',(-1.,0.,0.)); +#4395=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4396=DIRECTION('center_axis',(1.,0.,0.)); +#4397=DIRECTION('ref_axis',(0.,-1.,0.)); +#4398=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4399=DIRECTION('center_axis',(1.,0.,0.)); +#4400=DIRECTION('ref_axis',(0.,-1.11022302462515E-15,1.)); +#4401=DIRECTION('',(0.,-1.,0.)); +#4402=DIRECTION('center_axis',(-1.,0.,0.)); +#4403=DIRECTION('ref_axis',(0.,1.,0.)); +#4404=DIRECTION('',(0.,0.,-1.)); +#4405=DIRECTION('',(0.,1.,0.)); +#4406=DIRECTION('',(0.,0.,-1.)); +#4407=DIRECTION('center_axis',(-1.,0.,0.)); +#4408=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4409=DIRECTION('',(0.,-1.,0.)); +#4410=DIRECTION('center_axis',(1.,0.,0.)); +#4411=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4412=DIRECTION('center_axis',(0.,0.,1.)); +#4413=DIRECTION('ref_axis',(1.,0.,0.)); +#4414=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); +#4415=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); +#4416=DIRECTION('',(0.,-1.,0.)); +#4417=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); +#4418=DIRECTION('',(0.,1.,0.)); +#4419=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); +#4420=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548)); +#4421=DIRECTION('ref_axis',(1.,0.,0.)); +#4422=DIRECTION('',(1.,0.,0.)); +#4423=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); +#4424=DIRECTION('',(-1.,0.,0.)); +#4425=DIRECTION('center_axis',(7.8504622934189E-16,0.707106781186547,0.707106781186548)); +#4426=DIRECTION('ref_axis',(1.,0.,-1.11022302462516E-15)); +#4427=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4428=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); +#4429=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4430=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); +#4431=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); +#4432=DIRECTION('',(0.,-1.,0.)); +#4433=DIRECTION('center_axis',(0.,-1.,0.)); +#4434=DIRECTION('ref_axis',(-1.,0.,0.)); +#4435=DIRECTION('',(0.,0.,-1.)); +#4436=DIRECTION('',(-1.,0.,0.)); +#4437=DIRECTION('center_axis',(-1.,0.,0.)); +#4438=DIRECTION('ref_axis',(0.,1.,0.)); +#4439=DIRECTION('center_axis',(1.,0.,0.)); +#4440=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4441=DIRECTION('',(0.,-1.,0.)); +#4442=DIRECTION('center_axis',(-1.,0.,0.)); +#4443=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4444=DIRECTION('',(0.,0.,-1.)); +#4445=DIRECTION('center_axis',(-1.,0.,0.)); +#4446=DIRECTION('ref_axis',(0.,1.,0.)); +#4447=DIRECTION('',(0.,-1.,0.)); +#4448=DIRECTION('center_axis',(1.,0.,0.)); +#4449=DIRECTION('ref_axis',(0.,3.88578058618806E-15,1.)); +#4450=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4451=DIRECTION('center_axis',(0.,0.,1.)); +#4452=DIRECTION('ref_axis',(1.,0.,0.)); +#4453=DIRECTION('center_axis',(1.11022302462516E-15,1.,1.50030138462859E-16)); +#4454=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4455=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4456=DIRECTION('center_axis',(1.,0.,0.)); +#4457=DIRECTION('ref_axis',(0.,0.,1.)); +#4458=DIRECTION('',(-1.,0.,0.)); +#4459=DIRECTION('center_axis',(1.,0.,0.)); +#4460=DIRECTION('ref_axis',(0.,0.,1.)); +#4461=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4462=DIRECTION('center_axis',(0.,0.,1.)); +#4463=DIRECTION('ref_axis',(-1.,0.,0.)); +#4464=DIRECTION('',(-1.,0.,0.)); +#4465=DIRECTION('center_axis',(1.11022302462516E-15,0.,-1.)); +#4466=DIRECTION('ref_axis',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4467=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4468=DIRECTION('center_axis',(-1.,0.,0.)); +#4469=DIRECTION('ref_axis',(0.,1.,0.)); +#4470=DIRECTION('center_axis',(-1.,0.,0.)); +#4471=DIRECTION('ref_axis',(0.,1.,0.)); +#4472=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4473=DIRECTION('center_axis',(1.11022302462516E-15,1.,0.)); +#4474=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4475=DIRECTION('center_axis',(0.707106781186544,0.,-0.707106781186551)); +#4476=DIRECTION('ref_axis',(-0.707106781186551,0.,-0.707106781186544)); +#4477=DIRECTION('',(0.,1.,0.)); +#4478=DIRECTION('',(0.57735026918963,0.577350269189624,0.577350269189624)); +#4479=DIRECTION('',(0.,-1.,0.)); +#4480=DIRECTION('',(0.577350269189627,-0.577350269189629,0.577350269189621)); +#4481=DIRECTION('center_axis',(7.85046229341886E-16,0.707106781186544,-0.707106781186551)); +#4482=DIRECTION('ref_axis',(-1.,0.,-1.11022302462515E-15)); +#4483=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4484=DIRECTION('',(-0.577350269189629,0.577350269189627,0.577350269189622)); +#4485=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4486=DIRECTION('center_axis',(-0.707106781186544,0.,-0.707106781186551)); +#4487=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186544)); +#4488=DIRECTION('',(0.,-1.,0.)); +#4489=DIRECTION('',(-0.577350269189627,-0.577350269189629,0.577350269189621)); +#4490=DIRECTION('',(0.,1.,0.)); +#4491=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); +#4492=DIRECTION('ref_axis',(-1.,0.,0.)); +#4493=DIRECTION('',(-1.,0.,0.)); +#4494=DIRECTION('',(1.,0.,0.)); +#4495=DIRECTION('center_axis',(0.,-1.,-1.50030138462859E-16)); +#4496=DIRECTION('ref_axis',(-1.,0.,0.)); +#4497=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4498=DIRECTION('',(-1.,0.,0.)); +#4499=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4500=DIRECTION('center_axis',(1.,0.,0.)); +#4501=DIRECTION('ref_axis',(0.,-1.,0.)); +#4502=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4503=DIRECTION('center_axis',(1.,0.,0.)); +#4504=DIRECTION('ref_axis',(0.,-1.11022302462515E-15,1.)); +#4505=DIRECTION('',(0.,-1.,0.)); +#4506=DIRECTION('center_axis',(-1.,0.,0.)); +#4507=DIRECTION('ref_axis',(0.,1.,0.)); +#4508=DIRECTION('',(0.,0.,-1.)); +#4509=DIRECTION('',(0.,1.,0.)); +#4510=DIRECTION('',(0.,0.,-1.)); +#4511=DIRECTION('center_axis',(-1.,0.,0.)); +#4512=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4513=DIRECTION('',(0.,-1.,0.)); +#4514=DIRECTION('center_axis',(1.,0.,0.)); +#4515=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4516=DIRECTION('center_axis',(0.,0.,1.)); +#4517=DIRECTION('ref_axis',(1.,0.,0.)); +#4518=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); +#4519=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); +#4520=DIRECTION('',(0.,-1.,0.)); +#4521=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); +#4522=DIRECTION('',(0.,1.,0.)); +#4523=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); +#4524=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548)); +#4525=DIRECTION('ref_axis',(1.,0.,0.)); +#4526=DIRECTION('',(1.,0.,0.)); +#4527=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); +#4528=DIRECTION('',(-1.,0.,0.)); +#4529=DIRECTION('center_axis',(7.8504622934189E-16,0.707106781186547,0.707106781186548)); +#4530=DIRECTION('ref_axis',(1.,0.,-1.11022302462516E-15)); +#4531=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4532=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); +#4533=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4534=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); +#4535=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); +#4536=DIRECTION('',(0.,-1.,0.)); +#4537=DIRECTION('center_axis',(0.,-1.,0.)); +#4538=DIRECTION('ref_axis',(-1.,0.,0.)); +#4539=DIRECTION('',(0.,0.,-1.)); +#4540=DIRECTION('',(-1.,0.,0.)); +#4541=DIRECTION('center_axis',(-1.,0.,0.)); +#4542=DIRECTION('ref_axis',(0.,1.,0.)); +#4543=DIRECTION('center_axis',(1.,0.,0.)); +#4544=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4545=DIRECTION('',(0.,-1.,0.)); +#4546=DIRECTION('center_axis',(-1.,0.,0.)); +#4547=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4548=DIRECTION('',(0.,0.,-1.)); +#4549=DIRECTION('center_axis',(-1.,0.,0.)); +#4550=DIRECTION('ref_axis',(0.,1.,0.)); +#4551=DIRECTION('',(0.,-1.,0.)); +#4552=DIRECTION('center_axis',(1.,0.,0.)); +#4553=DIRECTION('ref_axis',(0.,3.88578058618806E-15,1.)); +#4554=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4555=DIRECTION('center_axis',(0.,0.,1.)); +#4556=DIRECTION('ref_axis',(1.,0.,0.)); +#4557=DIRECTION('center_axis',(1.11022302462516E-15,1.,1.50030138462859E-16)); +#4558=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4559=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4560=DIRECTION('center_axis',(1.,0.,0.)); +#4561=DIRECTION('ref_axis',(0.,0.,1.)); +#4562=DIRECTION('',(-1.,0.,0.)); +#4563=DIRECTION('center_axis',(1.,0.,0.)); +#4564=DIRECTION('ref_axis',(0.,0.,1.)); +#4565=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4566=DIRECTION('center_axis',(0.,0.,1.)); +#4567=DIRECTION('ref_axis',(-1.,0.,0.)); +#4568=DIRECTION('',(-1.,0.,0.)); +#4569=DIRECTION('center_axis',(1.11022302462516E-15,0.,-1.)); +#4570=DIRECTION('ref_axis',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4571=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4572=DIRECTION('center_axis',(-1.,0.,0.)); +#4573=DIRECTION('ref_axis',(0.,1.,0.)); +#4574=DIRECTION('center_axis',(-1.,0.,0.)); +#4575=DIRECTION('ref_axis',(0.,1.,0.)); +#4576=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4577=DIRECTION('center_axis',(1.11022302462516E-15,1.,0.)); +#4578=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4579=DIRECTION('center_axis',(0.707106781186544,0.,-0.707106781186551)); +#4580=DIRECTION('ref_axis',(-0.707106781186551,0.,-0.707106781186544)); +#4581=DIRECTION('',(0.,1.,0.)); +#4582=DIRECTION('',(0.57735026918963,0.577350269189624,0.577350269189624)); +#4583=DIRECTION('',(0.,-1.,0.)); +#4584=DIRECTION('',(0.577350269189627,-0.577350269189629,0.577350269189621)); +#4585=DIRECTION('center_axis',(7.85046229341886E-16,0.707106781186544,-0.707106781186551)); +#4586=DIRECTION('ref_axis',(-1.,0.,-1.11022302462515E-15)); +#4587=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4588=DIRECTION('',(-0.577350269189629,0.577350269189627,0.577350269189622)); +#4589=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4590=DIRECTION('center_axis',(-0.707106781186544,0.,-0.707106781186551)); +#4591=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186544)); +#4592=DIRECTION('',(0.,-1.,0.)); +#4593=DIRECTION('',(-0.577350269189627,-0.577350269189629,0.577350269189621)); +#4594=DIRECTION('',(0.,1.,0.)); +#4595=DIRECTION('center_axis',(0.,-0.707106781186544,-0.707106781186551)); +#4596=DIRECTION('ref_axis',(-1.,0.,0.)); +#4597=DIRECTION('',(-1.,0.,0.)); +#4598=DIRECTION('',(1.,0.,0.)); +#4599=DIRECTION('center_axis',(0.,-1.,-1.50030138462859E-16)); +#4600=DIRECTION('ref_axis',(-1.,0.,0.)); +#4601=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4602=DIRECTION('',(-1.,0.,0.)); +#4603=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4604=DIRECTION('center_axis',(1.,0.,0.)); +#4605=DIRECTION('ref_axis',(0.,-1.,0.)); +#4606=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4607=DIRECTION('center_axis',(1.,0.,0.)); +#4608=DIRECTION('ref_axis',(0.,-1.11022302462515E-15,1.)); +#4609=DIRECTION('',(0.,-1.,0.)); +#4610=DIRECTION('center_axis',(-1.,0.,0.)); +#4611=DIRECTION('ref_axis',(0.,1.,0.)); +#4612=DIRECTION('',(0.,0.,-1.)); +#4613=DIRECTION('',(0.,1.,0.)); +#4614=DIRECTION('',(0.,0.,-1.)); +#4615=DIRECTION('center_axis',(-1.,0.,0.)); +#4616=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4617=DIRECTION('',(0.,-1.,0.)); +#4618=DIRECTION('center_axis',(1.,0.,0.)); +#4619=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4620=DIRECTION('center_axis',(0.,0.,1.)); +#4621=DIRECTION('ref_axis',(1.,0.,0.)); +#4622=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); +#4623=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); +#4624=DIRECTION('',(0.,-1.,0.)); +#4625=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); +#4626=DIRECTION('',(0.,1.,0.)); +#4627=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); +#4628=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548)); +#4629=DIRECTION('ref_axis',(1.,0.,0.)); +#4630=DIRECTION('',(1.,0.,0.)); +#4631=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); +#4632=DIRECTION('',(-1.,0.,0.)); +#4633=DIRECTION('center_axis',(7.8504622934189E-16,0.707106781186547,0.707106781186548)); +#4634=DIRECTION('ref_axis',(1.,0.,-1.11022302462516E-15)); +#4635=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4636=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); +#4637=DIRECTION('',(-1.,1.11022302462516E-15,0.)); +#4638=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); +#4639=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); +#4640=DIRECTION('',(0.,-1.,0.)); +#4641=DIRECTION('center_axis',(0.,-1.,0.)); +#4642=DIRECTION('ref_axis',(-1.,0.,0.)); +#4643=DIRECTION('',(0.,0.,-1.)); +#4644=DIRECTION('',(-1.,0.,0.)); +#4645=DIRECTION('center_axis',(-1.,0.,0.)); +#4646=DIRECTION('ref_axis',(0.,1.,0.)); +#4647=DIRECTION('center_axis',(1.,0.,0.)); +#4648=DIRECTION('ref_axis',(0.,-9.25185853854295E-16,1.)); +#4649=DIRECTION('',(0.,-1.,0.)); +#4650=DIRECTION('center_axis',(-1.,0.,0.)); +#4651=DIRECTION('ref_axis',(0.,1.,4.44089209850064E-15)); +#4652=DIRECTION('',(0.,0.,-1.)); +#4653=DIRECTION('center_axis',(-1.,0.,0.)); +#4654=DIRECTION('ref_axis',(0.,1.,0.)); +#4655=DIRECTION('',(0.,-1.,0.)); +#4656=DIRECTION('center_axis',(1.,0.,0.)); +#4657=DIRECTION('ref_axis',(0.,3.88578058618806E-15,1.)); +#4658=DIRECTION('',(0.,1.50030138462859E-16,-1.)); +#4659=DIRECTION('center_axis',(0.,0.,1.)); +#4660=DIRECTION('ref_axis',(1.,0.,0.)); +#4661=DIRECTION('center_axis',(1.11022302462516E-15,1.,1.50030138462859E-16)); +#4662=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4663=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4664=DIRECTION('center_axis',(1.,0.,0.)); +#4665=DIRECTION('ref_axis',(0.,0.,1.)); +#4666=DIRECTION('',(-1.,0.,0.)); +#4667=DIRECTION('center_axis',(1.,0.,0.)); +#4668=DIRECTION('ref_axis',(0.,0.,1.)); +#4669=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4670=DIRECTION('center_axis',(0.,0.,1.)); +#4671=DIRECTION('ref_axis',(-1.,0.,0.)); +#4672=DIRECTION('',(-1.,0.,0.)); +#4673=DIRECTION('center_axis',(1.11022302462516E-15,0.,-1.)); +#4674=DIRECTION('ref_axis',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4675=DIRECTION('',(1.,-6.79815536723448E-32,1.11022302462516E-15)); +#4676=DIRECTION('center_axis',(-1.,0.,0.)); +#4677=DIRECTION('ref_axis',(0.,1.,0.)); +#4678=DIRECTION('center_axis',(-1.,0.,0.)); +#4679=DIRECTION('ref_axis',(0.,1.,0.)); +#4680=DIRECTION('',(1.,-1.11022302462516E-15,0.)); +#4681=DIRECTION('center_axis',(1.11022302462516E-15,1.,0.)); +#4682=DIRECTION('ref_axis',(1.,-1.11022302462516E-15,0.)); +#4683=CARTESIAN_POINT('',(0.,0.,0.)); +#4684=CARTESIAN_POINT('Origin',(5.95,3.5,-2.)); +#4685=CARTESIAN_POINT('',(-4.94999999999998,3.5,-2.)); +#4686=CARTESIAN_POINT('',(-4.94999999999998,2.5,-2.)); +#4687=CARTESIAN_POINT('',(-4.94999999999998,2.05000000000002,-2.)); +#4688=CARTESIAN_POINT('',(-5.95,3.5,-2.)); +#4689=CARTESIAN_POINT('',(5.95,3.5,-2.)); +#4690=CARTESIAN_POINT('',(-5.95,2.5,-2.)); +#4691=CARTESIAN_POINT('',(-5.95,2.95,-2.)); +#4692=CARTESIAN_POINT('',(5.95,2.5,-2.)); +#4693=CARTESIAN_POINT('Origin',(5.95,3.5,0.)); +#4694=CARTESIAN_POINT('',(-4.94999999999998,3.5,-6.16297582203915E-32)); +#4695=CARTESIAN_POINT('',(-4.94999999999998,3.5,-8.0491169285323E-16)); +#4696=CARTESIAN_POINT('',(-5.95,3.5,0.)); +#4697=CARTESIAN_POINT('',(2.975,3.5,-6.16297582203915E-32)); +#4698=CARTESIAN_POINT('',(-5.95,3.5,-1.5)); +#4699=CARTESIAN_POINT('Origin',(5.95,3.5,0.)); +#4700=CARTESIAN_POINT('',(4.95000000000002,3.5,-2.)); +#4701=CARTESIAN_POINT('',(4.95000000000002,3.5,-6.16297582203915E-32)); +#4702=CARTESIAN_POINT('',(4.95000000000002,3.5,1.94289029309403E-16)); +#4703=CARTESIAN_POINT('',(5.95,3.5,-2.)); +#4704=CARTESIAN_POINT('',(5.95,3.5,-2.)); +#4705=CARTESIAN_POINT('',(5.95,3.5,0.)); +#4706=CARTESIAN_POINT('',(5.95,3.5,-1.5)); +#4707=CARTESIAN_POINT('',(2.975,3.5,-6.16297582203915E-32)); +#4708=CARTESIAN_POINT('Origin',(5.95,2.5,-2.)); +#4709=CARTESIAN_POINT('',(-4.94999999999998,2.5,-2.40741243048404E-32)); +#4710=CARTESIAN_POINT('',(-4.94999999999998,2.5,-1.)); +#4711=CARTESIAN_POINT('',(-5.95,2.5,-0.8)); +#4712=CARTESIAN_POINT('',(-5.95,2.5,-2.5)); +#4713=CARTESIAN_POINT('',(-5.05,2.5,-0.8)); +#4714=CARTESIAN_POINT('',(-5.95,2.5,-0.8)); +#4715=CARTESIAN_POINT('',(-5.05,2.5,0.)); +#4716=CARTESIAN_POINT('',(-5.05,2.5,0.)); +#4717=CARTESIAN_POINT('',(2.975,2.5,0.)); +#4718=CARTESIAN_POINT('Origin',(5.95,2.5,-2.)); +#4719=CARTESIAN_POINT('',(5.95,2.5,-0.8)); +#4720=CARTESIAN_POINT('',(5.05,2.5,-0.8)); +#4721=CARTESIAN_POINT('',(5.95,2.5,-0.8)); +#4722=CARTESIAN_POINT('',(5.95,2.5,-2.)); +#4723=CARTESIAN_POINT('',(5.95,2.5,-2.5)); +#4724=CARTESIAN_POINT('',(4.95000000000001,2.5,-2.)); +#4725=CARTESIAN_POINT('',(5.95,2.5,-2.)); +#4726=CARTESIAN_POINT('',(4.95000000000001,2.5,-2.40741243048404E-32)); +#4727=CARTESIAN_POINT('',(4.95000000000001,2.5,-1.)); +#4728=CARTESIAN_POINT('',(5.05,2.5,0.)); +#4729=CARTESIAN_POINT('',(2.975,2.5,0.)); +#4730=CARTESIAN_POINT('',(5.05,2.5,0.)); +#4731=CARTESIAN_POINT('Origin',(5.95,3.5,-2.)); +#4732=CARTESIAN_POINT('',(4.95000000000002,3.85,-2.)); +#4733=CARTESIAN_POINT('',(5.95,2.95,-2.)); +#4734=CARTESIAN_POINT('Origin',(4.95000000000002,4.2,0.)); +#4735=CARTESIAN_POINT('',(4.95000000000002,4.2,0.)); +#4736=CARTESIAN_POINT('',(4.95000000000002,4.49999999999999,-4.33334237487127E-32)); +#4737=CARTESIAN_POINT('',(4.95000000000002,4.2,-5.4)); +#4738=CARTESIAN_POINT('',(4.95000000000002,4.2,0.)); +#4739=CARTESIAN_POINT('',(4.95,0.599999999999992,-5.40000000000002)); +#4740=CARTESIAN_POINT('',(4.95000000000001,2.09999999999999,-5.40000000000001)); +#4741=CARTESIAN_POINT('',(4.95,0.599999999999992,0.)); +#4742=CARTESIAN_POINT('',(4.95,0.599999999999992,0.)); +#4743=CARTESIAN_POINT('',(4.95000000000002,4.49999999999999,-4.33334237487127E-32)); +#4744=CARTESIAN_POINT('Origin',(-4.94999999999999,0.600000000000013,0.)); +#4745=CARTESIAN_POINT('',(-4.94999999999999,0.600000000000013,0.)); +#4746=CARTESIAN_POINT('',(-4.94999999999998,2.70000000000001,-2.60000542492278E-32)); +#4747=CARTESIAN_POINT('',(-4.94999999999999,0.600000000000013,-5.40000000000002)); +#4748=CARTESIAN_POINT('',(-4.94999999999999,0.600000000000013,0.)); +#4749=CARTESIAN_POINT('',(-4.94999999999998,4.2,-5.4)); +#4750=CARTESIAN_POINT('',(-4.94999999999999,0.300000000000019,-5.40000000000002)); +#4751=CARTESIAN_POINT('',(-4.94999999999998,4.2,0.)); +#4752=CARTESIAN_POINT('',(-4.94999999999998,4.2,0.)); +#4753=CARTESIAN_POINT('',(-4.94999999999998,2.70000000000001,-2.60000542492278E-32)); +#4754=CARTESIAN_POINT('Origin',(0.,4.8,-4.62223186652937E-32)); +#4755=CARTESIAN_POINT('',(-2.95,4.2,-6.50973206245549E-16)); +#4756=CARTESIAN_POINT('',(-2.47499999999999,4.2,-4.04445288321319E-32)); +#4757=CARTESIAN_POINT('',(-2.95,4.8,-6.50973206245549E-16)); +#4758=CARTESIAN_POINT('',(-2.95,4.8,0.)); +#4759=CARTESIAN_POINT('',(-5.95,4.8,0.)); +#4760=CARTESIAN_POINT('',(0.,4.8,-4.62223186652937E-32)); +#4761=CARTESIAN_POINT('',(-5.95,0.,0.)); +#4762=CARTESIAN_POINT('Origin',(0.,4.8,-4.62223186652937E-32)); +#4763=CARTESIAN_POINT('',(5.95,4.8,0.)); +#4764=CARTESIAN_POINT('',(5.95,0.,0.)); +#4765=CARTESIAN_POINT('',(2.95,4.8,-6.50973206245549E-16)); +#4766=CARTESIAN_POINT('',(0.,4.8,-4.62223186652937E-32)); +#4767=CARTESIAN_POINT('',(2.95,4.2,-6.50973206245549E-16)); +#4768=CARTESIAN_POINT('',(2.95,4.8,0.)); +#4769=CARTESIAN_POINT('',(-2.47499999999999,4.2,-4.04445288321319E-32)); +#4770=CARTESIAN_POINT('Origin',(0.,4.8,-4.62223186652937E-32)); +#4771=CARTESIAN_POINT('',(2.475,0.599999999999997,-5.77778983316168E-33)); +#4772=CARTESIAN_POINT('',(-5.05,0.,0.)); +#4773=CARTESIAN_POINT('',(-5.05,3.025,0.)); +#4774=CARTESIAN_POINT('',(-4.35,0.,0.)); +#4775=CARTESIAN_POINT('',(0.,0.,0.)); +#4776=CARTESIAN_POINT('',(-4.35,0.2,0.)); +#4777=CARTESIAN_POINT('',(-4.35,2.4,-2.31111593326468E-32)); +#4778=CARTESIAN_POINT('',(4.35,0.2,0.)); +#4779=CARTESIAN_POINT('',(-2.175,0.2,-1.92592994438724E-33)); +#4780=CARTESIAN_POINT('',(4.35,0.,0.)); +#4781=CARTESIAN_POINT('',(4.35,2.5,-2.40741243048404E-32)); +#4782=CARTESIAN_POINT('',(5.05,0.,0.)); +#4783=CARTESIAN_POINT('',(0.,0.,0.)); +#4784=CARTESIAN_POINT('',(5.05,3.025,0.)); +#4785=CARTESIAN_POINT('Origin',(-5.55,1.,-1.65)); +#4786=CARTESIAN_POINT('',(-5.55,0.,-2.7)); +#4787=CARTESIAN_POINT('',(-5.55,1.3,-2.7)); +#4788=CARTESIAN_POINT('',(-5.55,1.15,-2.7)); +#4789=CARTESIAN_POINT('',(-5.55,0.,-3.3)); +#4790=CARTESIAN_POINT('',(-5.55,-1.38777878078145E-16,-0.825)); +#4791=CARTESIAN_POINT('',(-5.55,2.,-3.3)); +#4792=CARTESIAN_POINT('',(-5.55,0.,-3.3)); +#4793=CARTESIAN_POINT('',(-5.55,2.,-0.8)); +#4794=CARTESIAN_POINT('',(-5.55,2.,-3.3)); +#4795=CARTESIAN_POINT('',(-5.55,1.3,-0.8)); +#4796=CARTESIAN_POINT('',(-5.55,1.75,-0.8)); +#4797=CARTESIAN_POINT('',(-5.55,1.3,-1.225)); +#4798=CARTESIAN_POINT('Origin',(-5.05,0.,-3.3)); +#4799=CARTESIAN_POINT('',(-5.05,0.,-3.3)); +#4800=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#4801=CARTESIAN_POINT('',(-5.05,0.,-3.3)); +#4802=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#4803=CARTESIAN_POINT('',(-2.525,-6.0620016557794E-16,-3.3)); +#4804=CARTESIAN_POINT('Origin',(-5.05,2.,-3.3)); +#4805=CARTESIAN_POINT('',(-5.05,2.,-0.8)); +#4806=CARTESIAN_POINT('',(-5.5,2.,-0.8)); +#4807=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#4808=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#4809=CARTESIAN_POINT('',(-5.05,-1.38777878078145E-16,-0.825)); +#4810=CARTESIAN_POINT('',(-5.95,-5.55111512312578E-16,-2.7)); +#4811=CARTESIAN_POINT('',(-2.975,-5.55111512312578E-16,-2.7)); +#4812=CARTESIAN_POINT('',(-5.95,-1.39609735102798E-15,-7.6)); +#4813=CARTESIAN_POINT('',(-5.95,-1.39609735102798E-15,-7.6)); +#4814=CARTESIAN_POINT('',(-5.15,-1.38777878078145E-15,-7.6)); +#4815=CARTESIAN_POINT('',(-5.95,-1.39609735102798E-15,-7.6)); +#4816=CARTESIAN_POINT('',(-5.15,-1.11022302462516E-15,-6.)); +#4817=CARTESIAN_POINT('',(-5.15,-1.39609735102798E-15,-7.6)); +#4818=CARTESIAN_POINT('',(-4.35,-1.66533453693773E-15,-6.)); +#4819=CARTESIAN_POINT('',(0.,-1.66533453693773E-15,-6.)); +#4820=CARTESIAN_POINT('',(-4.35,0.,0.)); +#4821=CARTESIAN_POINT('Origin',(-5.95,1.3,-2.7)); +#4822=CARTESIAN_POINT('',(-5.95,1.3,-2.7)); +#4823=CARTESIAN_POINT('',(-5.95,1.3,-2.7)); +#4824=CARTESIAN_POINT('',(-5.95,1.85,-2.7)); +#4825=CARTESIAN_POINT('Origin',(-5.95,1.3,-0.8)); +#4826=CARTESIAN_POINT('',(-5.95,1.3,-0.8)); +#4827=CARTESIAN_POINT('',(-5.95,1.3,-0.8)); +#4828=CARTESIAN_POINT('',(-5.95,1.3,-1.9)); +#4829=CARTESIAN_POINT('Origin',(-5.95,2.5,-0.8)); +#4830=CARTESIAN_POINT('',(-5.05,2.5,-0.8)); +#4831=CARTESIAN_POINT('',(-5.95,2.45,-0.8)); +#4832=CARTESIAN_POINT('Origin',(-5.05,1.25,-1.35)); +#4833=CARTESIAN_POINT('Origin',(-5.95,2.4,-3.)); +#4834=CARTESIAN_POINT('',(-5.95,4.8,-6.)); +#4835=CARTESIAN_POINT('',(-5.95,4.8,-4.62223186652937E-32)); +#4836=CARTESIAN_POINT('',(-5.95,3.3,-6.00000000000001)); +#4837=CARTESIAN_POINT('',(-5.95,4.8,-6.)); +#4838=CARTESIAN_POINT('',(-5.95,2.5,-7.59999999999999)); +#4839=CARTESIAN_POINT('',(-5.95,3.3,-6.00000000000001)); +#4840=CARTESIAN_POINT('',(-5.95,2.5,-7.59999999999999)); +#4841=CARTESIAN_POINT('Origin',(-4.45,4.8,-3.57734173766289)); +#4842=CARTESIAN_POINT('',(-4.45,4.2,-3.57734173766289)); +#4843=CARTESIAN_POINT('',(-3.45,4.2,-3.57734173766289)); +#4844=CARTESIAN_POINT('',(-4.69999999999999,4.2,-3.57734173766289)); +#4845=CARTESIAN_POINT('',(-4.45,4.8,-3.57734173766289)); +#4846=CARTESIAN_POINT('',(-4.45,4.8,-3.57734173766289)); +#4847=CARTESIAN_POINT('',(-3.45,4.8,-3.57734173766289)); +#4848=CARTESIAN_POINT('',(-2.225,4.8,-3.57734173766289)); +#4849=CARTESIAN_POINT('',(-3.45,4.8,-3.57734173766289)); +#4850=CARTESIAN_POINT('Origin',(-3.45,4.8,-3.57734173766289)); +#4851=CARTESIAN_POINT('',(-3.45,4.2,-5.4)); +#4852=CARTESIAN_POINT('',(-3.45,4.2,-1.78867086883145)); +#4853=CARTESIAN_POINT('',(-3.45,4.8,-5.7999998435378)); +#4854=CARTESIAN_POINT('',(-3.45,4.8,-4.78867086883145)); +#4855=CARTESIAN_POINT('',(-3.45,3.8,-5.7999998435378)); +#4856=CARTESIAN_POINT('',(-3.45,4.8,-5.7999998435378)); +#4857=CARTESIAN_POINT('',(-3.45,3.8,-5.4)); +#4858=CARTESIAN_POINT('',(-3.45,3.8,-7.99192220741579)); +#4859=CARTESIAN_POINT('',(-3.45,2.4,-5.40000000000001)); +#4860=CARTESIAN_POINT('Origin',(-4.45,4.8,-6.)); +#4861=CARTESIAN_POINT('',(-4.45,4.2,-5.4)); +#4862=CARTESIAN_POINT('',(-4.45,4.2,-3.)); +#4863=CARTESIAN_POINT('',(-4.45,3.8,-5.4)); +#4864=CARTESIAN_POINT('',(-4.45,2.4,-5.40000000000001)); +#4865=CARTESIAN_POINT('',(-4.45,3.8,-6.)); +#4866=CARTESIAN_POINT('',(-4.45,3.8,-3.57734173766289)); +#4867=CARTESIAN_POINT('',(-4.45,4.8,-6.)); +#4868=CARTESIAN_POINT('',(-4.45,2.4,-6.00000000000001)); +#4869=CARTESIAN_POINT('',(-4.45,4.8,-6.)); +#4870=CARTESIAN_POINT('Origin',(-3.95,3.8,-5.78463197253935)); +#4871=CARTESIAN_POINT('',(-1.975,3.8,-5.4)); +#4872=CARTESIAN_POINT('',(-3.6,3.8,-5.7999998435378)); +#4873=CARTESIAN_POINT('',(-3.175,3.8,-5.7999998435378)); +#4874=CARTESIAN_POINT('',(-3.60000000424683,3.8,-6.)); +#4875=CARTESIAN_POINT('',(-3.60000000026622,3.8,-5.79231590197567)); +#4876=CARTESIAN_POINT('',(-1.975,3.8,-6.)); +#4877=CARTESIAN_POINT('Origin',(-2.95,4.8,-4.)); +#4878=CARTESIAN_POINT('',(-2.95,4.2,-4.)); +#4879=CARTESIAN_POINT('',(-2.95,4.2,-2.)); +#4880=CARTESIAN_POINT('',(-2.95,4.8,-4.)); +#4881=CARTESIAN_POINT('',(-2.95,4.8,-4.)); +#4882=CARTESIAN_POINT('',(-2.95,4.8,-5.)); +#4883=CARTESIAN_POINT('Origin',(0.,4.8,-6.)); +#4884=CARTESIAN_POINT('',(0.,4.8,-6.)); +#4885=CARTESIAN_POINT('',(2.95,4.8,-4.)); +#4886=CARTESIAN_POINT('',(0.,4.8,-4.)); +#4887=CARTESIAN_POINT('',(2.95,4.8,-5.)); +#4888=CARTESIAN_POINT('',(5.95,4.8,-6.)); +#4889=CARTESIAN_POINT('',(5.95,4.8,-4.62223186652937E-32)); +#4890=CARTESIAN_POINT('',(4.45,4.8,-6.)); +#4891=CARTESIAN_POINT('',(0.,4.8,-6.)); +#4892=CARTESIAN_POINT('',(4.45,4.8,-3.57734173766289)); +#4893=CARTESIAN_POINT('',(4.45,4.8,-6.)); +#4894=CARTESIAN_POINT('',(3.45,4.8,-3.57734173766289)); +#4895=CARTESIAN_POINT('',(2.225,4.8,-3.57734173766289)); +#4896=CARTESIAN_POINT('',(3.45,4.8,-5.7999998435378)); +#4897=CARTESIAN_POINT('',(3.45,4.8,-4.78867086883145)); +#4898=CARTESIAN_POINT('',(2.39999999999999,4.8,-5.7999998435378)); +#4899=CARTESIAN_POINT('',(1.8,4.8,-5.7999998435378)); +#4900=CARTESIAN_POINT('',(2.40000000692904,4.8,-6.)); +#4901=CARTESIAN_POINT('',(2.40000000346452,4.8,-5.89999988019466)); +#4902=CARTESIAN_POINT('',(1.60000000424683,4.8,-6.)); +#4903=CARTESIAN_POINT('',(0.,4.8,-6.)); +#4904=CARTESIAN_POINT('',(1.59999999999999,4.8,-5.7999998435378)); +#4905=CARTESIAN_POINT('',(1.60000000424683,4.8,-5.99999998301268)); +#4906=CARTESIAN_POINT('',(0.399999999999994,4.8,-5.7999998435378)); +#4907=CARTESIAN_POINT('',(0.799999999999997,4.8,-5.7999998435378)); +#4908=CARTESIAN_POINT('',(0.40000000692904,4.8,-6.)); +#4909=CARTESIAN_POINT('',(0.400000003464517,4.8,-5.89999991483986)); +#4910=CARTESIAN_POINT('',(-0.399999995753171,4.8,-6.)); +#4911=CARTESIAN_POINT('',(0.,4.8,-6.)); +#4912=CARTESIAN_POINT('',(-0.400000000000005,4.8,-5.7999998435378)); +#4913=CARTESIAN_POINT('',(-0.399999995753171,4.8,-6.00000000424683)); +#4914=CARTESIAN_POINT('',(-1.60000000000001,4.8,-5.7999998435378)); +#4915=CARTESIAN_POINT('',(-0.200000000000003,4.8,-5.7999998435378)); +#4916=CARTESIAN_POINT('',(-1.59999999307096,4.8,-6.)); +#4917=CARTESIAN_POINT('',(-1.59999999653548,4.8,-5.89999994948506)); +#4918=CARTESIAN_POINT('',(-2.39999999575317,4.8,-6.)); +#4919=CARTESIAN_POINT('',(0.,4.8,-6.)); +#4920=CARTESIAN_POINT('',(-2.40000000000001,4.8,-5.7999998435378)); +#4921=CARTESIAN_POINT('',(-2.39999999575317,4.8,-6.00000002548098)); +#4922=CARTESIAN_POINT('',(-1.2,4.8,-5.7999998435378)); +#4923=CARTESIAN_POINT('Origin',(-4.94999999999998,4.2,0.)); +#4924=CARTESIAN_POINT('',(3.45,4.2,-5.4)); +#4925=CARTESIAN_POINT('',(-2.47499999999999,4.2,-5.4)); +#4926=CARTESIAN_POINT('',(3.45,4.2,-3.57734173766289)); +#4927=CARTESIAN_POINT('',(3.45,4.2,-1.78867086883145)); +#4928=CARTESIAN_POINT('',(4.45,4.2,-3.57734173766289)); +#4929=CARTESIAN_POINT('',(-0.249999999999989,4.2,-3.57734173766289)); +#4930=CARTESIAN_POINT('',(4.45,4.2,-5.4)); +#4931=CARTESIAN_POINT('',(4.45,4.2,-3.)); +#4932=CARTESIAN_POINT('',(-2.47499999999999,4.2,-5.4)); +#4933=CARTESIAN_POINT('',(2.95,4.2,-4.)); +#4934=CARTESIAN_POINT('',(2.95,4.2,-2.)); +#4935=CARTESIAN_POINT('',(-2.47499999999999,4.2,-4.)); +#4936=CARTESIAN_POINT('',(-2.47499999999999,4.2,-5.4)); +#4937=CARTESIAN_POINT('Origin',(-5.95,3.3,-6.00000000000001)); +#4938=CARTESIAN_POINT('',(-5.15,3.3,-6.00000000000001)); +#4939=CARTESIAN_POINT('',(-2.975,3.3,-6.00000000000001)); +#4940=CARTESIAN_POINT('',(-5.15,2.5,-7.59999999999999)); +#4941=CARTESIAN_POINT('',(-5.15,3.3,-6.00000000000001)); +#4942=CARTESIAN_POINT('',(-5.95,2.5,-7.59999999999999)); +#4943=CARTESIAN_POINT('Origin',(-5.95,2.5,-7.59999999999999)); +#4944=CARTESIAN_POINT('',(-5.15,2.5,-7.59999999999999)); +#4945=CARTESIAN_POINT('Origin',(-5.15,1.65,-6.8)); +#4946=CARTESIAN_POINT('',(-5.15,0.824999999999997,-6.00000000000001)); +#4947=CARTESIAN_POINT('Origin',(0.,-1.66533453693773E-15,-6.00000000000002)); +#4948=CARTESIAN_POINT('',(-3.59999999307096,0.2,-6.)); +#4949=CARTESIAN_POINT('',(-3.59999999307096,2.4,-6.00000000000001)); +#4950=CARTESIAN_POINT('',(-4.35,0.2,-6.00000000000002)); +#4951=CARTESIAN_POINT('',(-2.175,0.2,-6.00000000000002)); +#4952=CARTESIAN_POINT('',(-4.35,1.02695629777827E-14,-6.00000000000002)); +#4953=CARTESIAN_POINT('Origin',(5.05,0.,-3.3)); +#4954=CARTESIAN_POINT('',(5.05,0.,-3.3)); +#4955=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#4956=CARTESIAN_POINT('',(5.05,0.,-3.3)); +#4957=CARTESIAN_POINT('',(5.55,0.,-3.3)); +#4958=CARTESIAN_POINT('',(2.525,-6.0620016557794E-16,-3.3)); +#4959=CARTESIAN_POINT('',(5.55,2.,-3.3)); +#4960=CARTESIAN_POINT('',(5.55,0.,-3.3)); +#4961=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#4962=CARTESIAN_POINT('Origin',(5.05,2.,-3.3)); +#4963=CARTESIAN_POINT('',(5.05,2.,-0.8)); +#4964=CARTESIAN_POINT('',(5.55,2.,-0.8)); +#4965=CARTESIAN_POINT('',(5.5,2.,-0.8)); +#4966=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#4967=CARTESIAN_POINT('',(5.55,2.,-3.3)); +#4968=CARTESIAN_POINT('Origin',(5.55,1.,-1.65)); +#4969=CARTESIAN_POINT('',(5.55,1.3,-2.7)); +#4970=CARTESIAN_POINT('',(5.55,0.,-2.7)); +#4971=CARTESIAN_POINT('',(5.55,1.15,-2.7)); +#4972=CARTESIAN_POINT('',(5.55,1.3,-0.8)); +#4973=CARTESIAN_POINT('',(5.55,1.3,-1.225)); +#4974=CARTESIAN_POINT('',(5.55,1.75,-0.8)); +#4975=CARTESIAN_POINT('',(5.55,-1.38777878078145E-16,-0.825)); +#4976=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#4977=CARTESIAN_POINT('',(5.05,-1.38777878078145E-16,-0.825)); +#4978=CARTESIAN_POINT('',(4.35,-1.66533453693773E-15,-6.)); +#4979=CARTESIAN_POINT('',(4.35,0.,1.83697019872103E-17)); +#4980=CARTESIAN_POINT('',(5.15,-1.11022302462516E-15,-6.)); +#4981=CARTESIAN_POINT('',(0.,-1.66533453693773E-15,-6.)); +#4982=CARTESIAN_POINT('',(5.15,-1.38777878078145E-15,-7.6)); +#4983=CARTESIAN_POINT('',(5.15,-1.39609735102798E-15,-7.6)); +#4984=CARTESIAN_POINT('',(5.95,-1.39609735102798E-15,-7.6)); +#4985=CARTESIAN_POINT('',(5.95,-1.39609735102798E-15,-7.6)); +#4986=CARTESIAN_POINT('',(5.95,-5.55111512312578E-16,-2.7)); +#4987=CARTESIAN_POINT('',(5.95,-1.39609735102798E-15,-7.6)); +#4988=CARTESIAN_POINT('',(2.975,-5.55111512312578E-16,-2.7)); +#4989=CARTESIAN_POINT('Origin',(5.05,1.25,-1.35)); +#4990=CARTESIAN_POINT('',(5.05,2.5,-0.8)); +#4991=CARTESIAN_POINT('Origin',(5.95,1.3,-2.7)); +#4992=CARTESIAN_POINT('',(5.95,1.3,-2.7)); +#4993=CARTESIAN_POINT('',(5.95,1.85,-2.7)); +#4994=CARTESIAN_POINT('',(5.95,1.3,-2.7)); +#4995=CARTESIAN_POINT('Origin',(5.95,1.3,-0.8)); +#4996=CARTESIAN_POINT('',(5.95,1.3,-0.8)); +#4997=CARTESIAN_POINT('',(5.95,1.3,-1.9)); +#4998=CARTESIAN_POINT('',(5.95,1.3,-0.8)); +#4999=CARTESIAN_POINT('Origin',(5.95,2.5,-0.8)); +#5000=CARTESIAN_POINT('',(5.95,2.45,-0.8)); +#5001=CARTESIAN_POINT('Origin',(3.95,3.8,-5.78463197253935)); +#5002=CARTESIAN_POINT('',(4.45,3.8,-5.4)); +#5003=CARTESIAN_POINT('',(3.45,3.8,-5.4)); +#5004=CARTESIAN_POINT('',(1.975,3.8,-5.4)); +#5005=CARTESIAN_POINT('',(4.45,3.8,-6.)); +#5006=CARTESIAN_POINT('',(4.45,3.8,-3.57734173766289)); +#5007=CARTESIAN_POINT('',(3.60000000424683,3.8,-6.)); +#5008=CARTESIAN_POINT('',(1.975,3.8,-6.)); +#5009=CARTESIAN_POINT('',(3.6,3.8,-5.7999998435378)); +#5010=CARTESIAN_POINT('',(3.60000000196025,3.8,-5.89231598998565)); +#5011=CARTESIAN_POINT('',(3.45,3.8,-5.7999998435378)); +#5012=CARTESIAN_POINT('',(3.775,3.8,-5.7999998435378)); +#5013=CARTESIAN_POINT('',(3.45,3.8,-7.99192220741579)); +#5014=CARTESIAN_POINT('Origin',(3.45,4.8,-3.57734173766289)); +#5015=CARTESIAN_POINT('',(3.45,2.4,-5.40000000000001)); +#5016=CARTESIAN_POINT('',(3.45,4.8,-5.7999998435378)); +#5017=CARTESIAN_POINT('',(3.45,4.8,-3.57734173766289)); +#5018=CARTESIAN_POINT('Origin',(4.45,4.8,-6.)); +#5019=CARTESIAN_POINT('',(4.45,2.4,-5.40000000000001)); +#5020=CARTESIAN_POINT('',(4.45,4.8,-3.57734173766289)); +#5021=CARTESIAN_POINT('',(4.45,2.4,-6.00000000000001)); +#5022=CARTESIAN_POINT('Origin',(4.45,4.8,-3.57734173766289)); +#5023=CARTESIAN_POINT('Origin',(2.95,4.8,-4.)); +#5024=CARTESIAN_POINT('',(2.95,4.8,-4.)); +#5025=CARTESIAN_POINT('Origin',(0.,4.8,-4.)); +#5026=CARTESIAN_POINT('Origin',(3.6,4.8,-5.7999998435378)); +#5027=CARTESIAN_POINT('',(3.6,0.2,-5.79999984353781)); +#5028=CARTESIAN_POINT('',(3.6,4.8,-5.7999998435378)); +#5029=CARTESIAN_POINT('',(2.39999999999999,0.2,-5.79999984353781)); +#5030=CARTESIAN_POINT('',(-0.375000000000002,0.2,-5.7999998435378)); +#5031=CARTESIAN_POINT('',(2.39999999999999,4.8,-5.7999998435378)); +#5032=CARTESIAN_POINT('',(3.25000000000024,2.85,-5.7999998435378)); +#5033=CARTESIAN_POINT('',(2.74999999999975,2.85,-5.7999998435378)); +#5034=CARTESIAN_POINT('',(3.42500000000012,2.85,-5.79999984353781)); +#5035=CARTESIAN_POINT('',(3.25000000000024,3.35,-5.7999998435378)); +#5036=CARTESIAN_POINT('',(3.25000000000024,4.075,-5.79999984353781)); +#5037=CARTESIAN_POINT('',(2.74999999999975,3.35,-5.7999998435378)); +#5038=CARTESIAN_POINT('',(3.17499999999987,3.35,-5.79999984353781)); +#5039=CARTESIAN_POINT('',(2.74999999999975,3.825,-5.79999984353781)); +#5040=CARTESIAN_POINT('Origin',(0.,-3.88578058618805E-15,-5.40000000000002)); +#5041=CARTESIAN_POINT('',(2.475,0.599999999999997,-5.40000000000002)); +#5042=CARTESIAN_POINT('',(-3.25000000000025,3.35,-5.40000000000001)); +#5043=CARTESIAN_POINT('',(-2.74999999999976,3.35,-5.40000000000001)); +#5044=CARTESIAN_POINT('',(-1.62500000000012,3.35,-5.40000000000001)); +#5045=CARTESIAN_POINT('',(-2.74999999999976,2.85,-5.40000000000001)); +#5046=CARTESIAN_POINT('',(-2.74999999999976,1.675,-5.40000000000001)); +#5047=CARTESIAN_POINT('',(-3.25000000000025,2.85,-5.40000000000001)); +#5048=CARTESIAN_POINT('',(-1.37499999999988,2.85,-5.40000000000001)); +#5049=CARTESIAN_POINT('',(-3.25000000000025,1.425,-5.40000000000001)); +#5050=CARTESIAN_POINT('',(-1.25000000000025,3.35,-5.40000000000001)); +#5051=CARTESIAN_POINT('',(-0.749999999999764,3.35,-5.40000000000001)); +#5052=CARTESIAN_POINT('',(-0.625000000000124,3.35,-5.40000000000001)); +#5053=CARTESIAN_POINT('',(-0.749999999999764,2.85,-5.40000000000001)); +#5054=CARTESIAN_POINT('',(-0.749999999999764,1.675,-5.40000000000001)); +#5055=CARTESIAN_POINT('',(-1.25000000000025,2.85,-5.40000000000001)); +#5056=CARTESIAN_POINT('',(-0.374999999999882,2.85,-5.40000000000001)); +#5057=CARTESIAN_POINT('',(-1.25000000000025,1.425,-5.40000000000001)); +#5058=CARTESIAN_POINT('',(0.749999999999753,3.35,-5.40000000000001)); +#5059=CARTESIAN_POINT('',(1.25000000000024,3.35,-5.40000000000001)); +#5060=CARTESIAN_POINT('',(0.374999999999876,3.35,-5.40000000000001)); +#5061=CARTESIAN_POINT('',(1.25000000000024,2.85,-5.40000000000001)); +#5062=CARTESIAN_POINT('',(1.25000000000024,1.675,-5.40000000000001)); +#5063=CARTESIAN_POINT('',(0.749999999999753,2.85,-5.40000000000001)); +#5064=CARTESIAN_POINT('',(0.625000000000118,2.85,-5.40000000000001)); +#5065=CARTESIAN_POINT('',(0.749999999999753,1.425,-5.40000000000001)); +#5066=CARTESIAN_POINT('',(2.74999999999975,3.35,-5.40000000000001)); +#5067=CARTESIAN_POINT('',(3.25000000000024,3.35,-5.40000000000001)); +#5068=CARTESIAN_POINT('',(1.37499999999988,3.35,-5.40000000000001)); +#5069=CARTESIAN_POINT('',(3.25000000000024,2.85,-5.40000000000001)); +#5070=CARTESIAN_POINT('',(3.25000000000024,1.675,-5.40000000000001)); +#5071=CARTESIAN_POINT('',(2.74999999999975,2.85,-5.40000000000001)); +#5072=CARTESIAN_POINT('',(1.62500000000012,2.85,-5.40000000000001)); +#5073=CARTESIAN_POINT('',(2.74999999999975,1.425,-5.40000000000001)); +#5074=CARTESIAN_POINT('Origin',(5.95,3.3,-6.00000000000001)); +#5075=CARTESIAN_POINT('',(5.95,3.3,-6.00000000000001)); +#5076=CARTESIAN_POINT('',(5.95,2.5,-7.59999999999999)); +#5077=CARTESIAN_POINT('',(5.95,3.3,-6.00000000000001)); +#5078=CARTESIAN_POINT('',(5.15,2.5,-7.59999999999999)); +#5079=CARTESIAN_POINT('',(5.95,2.5,-7.59999999999999)); +#5080=CARTESIAN_POINT('',(5.15,3.3,-6.00000000000001)); +#5081=CARTESIAN_POINT('',(5.15,3.3,-6.00000000000001)); +#5082=CARTESIAN_POINT('',(2.975,3.3,-6.00000000000001)); +#5083=CARTESIAN_POINT('Origin',(5.95,2.5,-7.59999999999999)); +#5084=CARTESIAN_POINT('',(5.95,2.5,-7.59999999999999)); +#5085=CARTESIAN_POINT('',(5.15,2.5,-7.59999999999999)); +#5086=CARTESIAN_POINT('Origin',(5.15,1.65,-6.8)); +#5087=CARTESIAN_POINT('',(5.15,0.824999999999997,-6.00000000000001)); +#5088=CARTESIAN_POINT('Origin',(0.,-1.66533453693773E-15,-6.00000000000002)); +#5089=CARTESIAN_POINT('',(5.95,4.8,-6.)); +#5090=CARTESIAN_POINT('',(4.35,0.2,-6.00000000000002)); +#5091=CARTESIAN_POINT('',(4.35,0.10000000000001,-6.00000000000002)); +#5092=CARTESIAN_POINT('',(3.60000000424683,0.2,-6.)); +#5093=CARTESIAN_POINT('',(-2.175,0.2,-6.00000000000002)); +#5094=CARTESIAN_POINT('',(3.60000000424683,2.4,-6.00000000000001)); +#5095=CARTESIAN_POINT('Origin',(2.39999999999999,4.8,-5.7999998435378)); +#5096=CARTESIAN_POINT('',(2.40000000692904,0.2,-6.)); +#5097=CARTESIAN_POINT('',(2.3999998995289,0.2,-2.89999980484134)); +#5098=CARTESIAN_POINT('',(2.40000000692904,2.4,-6.00000000000001)); +#5099=CARTESIAN_POINT('Origin',(3.60000000424683,4.8,-6.)); +#5100=CARTESIAN_POINT('',(3.59999994054437,0.2,-2.99999991559424)); +#5101=CARTESIAN_POINT('Origin',(0.,-1.66533453693773E-15,-6.00000000000002)); +#5102=CARTESIAN_POINT('',(1.60000000424683,0.2,-6.)); +#5103=CARTESIAN_POINT('',(-2.175,0.2,-6.00000000000002)); +#5104=CARTESIAN_POINT('',(1.60000000424683,2.4,-6.00000000000001)); +#5105=CARTESIAN_POINT('Origin',(2.74999999999975,3.35,-5.40000000000001)); +#5106=CARTESIAN_POINT('',(3.25000000000024,3.35,-5.40000000000001)); +#5107=CARTESIAN_POINT('',(2.74999999999975,3.35,-5.40000000000001)); +#5108=CARTESIAN_POINT('Origin',(3.25000000000024,3.35,-5.40000000000001)); +#5109=CARTESIAN_POINT('',(3.25000000000024,2.85,-5.40000000000001)); +#5110=CARTESIAN_POINT('Origin',(3.25000000000024,2.85,-5.40000000000001)); +#5111=CARTESIAN_POINT('',(2.74999999999975,2.85,-5.40000000000001)); +#5112=CARTESIAN_POINT('Origin',(2.74999999999975,2.85,-5.40000000000001)); +#5113=CARTESIAN_POINT('Origin',(0.399999999999994,4.8,-5.7999998435378)); +#5114=CARTESIAN_POINT('',(0.40000000692904,0.2,-6.)); +#5115=CARTESIAN_POINT('',(0.399999999999994,0.2,-5.79999984353781)); +#5116=CARTESIAN_POINT('',(0.399999899528902,0.2,-2.89999983948655)); +#5117=CARTESIAN_POINT('',(0.40000000692904,2.4,-6.00000000000001)); +#5118=CARTESIAN_POINT('',(0.399999999999994,4.8,-5.7999998435378)); +#5119=CARTESIAN_POINT('Origin',(1.59999999999999,4.8,-5.7999998435378)); +#5120=CARTESIAN_POINT('',(1.59999999999999,0.2,-5.79999984353781)); +#5121=CARTESIAN_POINT('',(-1.375,0.2,-5.7999998435378)); +#5122=CARTESIAN_POINT('',(1.59999999999999,4.8,-5.7999998435378)); +#5123=CARTESIAN_POINT('',(1.25000000000024,2.85,-5.7999998435378)); +#5124=CARTESIAN_POINT('',(0.749999999999753,2.85,-5.7999998435378)); +#5125=CARTESIAN_POINT('',(1.42500000000012,2.85,-5.79999984353781)); +#5126=CARTESIAN_POINT('',(1.25000000000024,3.35,-5.7999998435378)); +#5127=CARTESIAN_POINT('',(1.25000000000024,4.075,-5.79999984353781)); +#5128=CARTESIAN_POINT('',(0.749999999999753,3.35,-5.7999998435378)); +#5129=CARTESIAN_POINT('',(1.17499999999987,3.35,-5.79999984353781)); +#5130=CARTESIAN_POINT('',(0.749999999999753,3.825,-5.79999984353781)); +#5131=CARTESIAN_POINT('Origin',(1.60000000424683,4.8,-6.)); +#5132=CARTESIAN_POINT('',(1.59999994054437,0.2,-2.99999993682839)); +#5133=CARTESIAN_POINT('Origin',(0.,-1.66533453693773E-15,-6.00000000000002)); +#5134=CARTESIAN_POINT('',(-0.399999995753171,0.2,-6.)); +#5135=CARTESIAN_POINT('',(-2.175,0.2,-6.00000000000002)); +#5136=CARTESIAN_POINT('',(-0.399999995753171,2.4,-6.00000000000001)); +#5137=CARTESIAN_POINT('Origin',(0.749999999999753,3.35,-5.40000000000001)); +#5138=CARTESIAN_POINT('',(1.25000000000024,3.35,-5.40000000000001)); +#5139=CARTESIAN_POINT('',(0.749999999999753,3.35,-5.40000000000001)); +#5140=CARTESIAN_POINT('Origin',(1.25000000000024,3.35,-5.40000000000001)); +#5141=CARTESIAN_POINT('',(1.25000000000024,2.85,-5.40000000000001)); +#5142=CARTESIAN_POINT('Origin',(1.25000000000024,2.85,-5.40000000000001)); +#5143=CARTESIAN_POINT('',(0.749999999999753,2.85,-5.40000000000001)); +#5144=CARTESIAN_POINT('Origin',(0.749999999999753,2.85,-5.40000000000001)); +#5145=CARTESIAN_POINT('Origin',(-1.60000000000001,4.8,-5.7999998435378)); +#5146=CARTESIAN_POINT('',(-1.59999999307096,0.2,-6.)); +#5147=CARTESIAN_POINT('',(-1.60000000000001,0.2,-5.79999984353781)); +#5148=CARTESIAN_POINT('',(-1.6000001004711,0.2,-2.89999987413175)); +#5149=CARTESIAN_POINT('',(-1.59999999307096,2.4,-6.00000000000001)); +#5150=CARTESIAN_POINT('',(-1.60000000000001,4.8,-5.7999998435378)); +#5151=CARTESIAN_POINT('Origin',(-0.400000000000005,4.8,-5.7999998435378)); +#5152=CARTESIAN_POINT('',(-0.400000000000005,0.2,-5.79999984353781)); +#5153=CARTESIAN_POINT('',(-2.375,0.2,-5.7999998435378)); +#5154=CARTESIAN_POINT('',(-0.400000000000005,4.8,-5.7999998435378)); +#5155=CARTESIAN_POINT('',(-0.749999999999764,2.85,-5.7999998435378)); +#5156=CARTESIAN_POINT('',(-1.25000000000025,2.85,-5.7999998435378)); +#5157=CARTESIAN_POINT('',(-0.574999999999884,2.85,-5.79999984353781)); +#5158=CARTESIAN_POINT('',(-0.749999999999764,3.35,-5.7999998435378)); +#5159=CARTESIAN_POINT('',(-0.749999999999764,4.075,-5.79999984353781)); +#5160=CARTESIAN_POINT('',(-1.25000000000025,3.35,-5.7999998435378)); +#5161=CARTESIAN_POINT('',(-0.825000000000127,3.35,-5.79999984353781)); +#5162=CARTESIAN_POINT('',(-1.25000000000025,3.825,-5.79999984353781)); +#5163=CARTESIAN_POINT('Origin',(-0.399999995753171,4.8,-6.)); +#5164=CARTESIAN_POINT('',(-0.400000059455632,0.2,-2.99999995806255)); +#5165=CARTESIAN_POINT('Origin',(0.,-1.66533453693773E-15,-6.00000000000002)); +#5166=CARTESIAN_POINT('',(-2.39999999575317,0.2,-6.)); +#5167=CARTESIAN_POINT('',(-2.175,0.2,-6.00000000000002)); +#5168=CARTESIAN_POINT('',(-2.39999999575317,2.4,-6.00000000000001)); +#5169=CARTESIAN_POINT('Origin',(-1.25000000000025,3.35,-5.40000000000001)); +#5170=CARTESIAN_POINT('',(-0.749999999999764,3.35,-5.40000000000001)); +#5171=CARTESIAN_POINT('',(-1.25000000000025,3.35,-5.40000000000001)); +#5172=CARTESIAN_POINT('Origin',(-0.749999999999764,3.35,-5.40000000000001)); +#5173=CARTESIAN_POINT('',(-0.749999999999764,2.85,-5.40000000000001)); +#5174=CARTESIAN_POINT('Origin',(-0.749999999999764,2.85,-5.40000000000001)); +#5175=CARTESIAN_POINT('',(-1.25000000000025,2.85,-5.40000000000001)); +#5176=CARTESIAN_POINT('Origin',(-1.25000000000025,2.85,-5.40000000000001)); +#5177=CARTESIAN_POINT('Origin',(-2.39999999575317,4.8,-6.)); +#5178=CARTESIAN_POINT('',(-2.40000000000001,0.2,-5.79999984353781)); +#5179=CARTESIAN_POINT('',(-2.40000005945563,0.2,-2.9999999792967)); +#5180=CARTESIAN_POINT('',(-2.40000000000001,4.8,-5.7999998435378)); +#5181=CARTESIAN_POINT('Origin',(-2.40000000000001,4.8,-5.7999998435378)); +#5182=CARTESIAN_POINT('',(-3.60000000000001,0.2,-5.79999984353781)); +#5183=CARTESIAN_POINT('',(-3.375,0.2,-5.7999998435378)); +#5184=CARTESIAN_POINT('',(-3.60000000000001,4.8,-5.7999998435378)); +#5185=CARTESIAN_POINT('',(-2.74999999999976,2.85,-5.7999998435378)); +#5186=CARTESIAN_POINT('',(-3.25000000000025,2.85,-5.7999998435378)); +#5187=CARTESIAN_POINT('',(-2.57499999999988,2.85,-5.79999984353781)); +#5188=CARTESIAN_POINT('',(-2.74999999999976,3.35,-5.7999998435378)); +#5189=CARTESIAN_POINT('',(-2.74999999999976,4.075,-5.79999984353781)); +#5190=CARTESIAN_POINT('',(-3.25000000000025,3.35,-5.7999998435378)); +#5191=CARTESIAN_POINT('',(-2.82500000000013,3.35,-5.79999984353781)); +#5192=CARTESIAN_POINT('',(-3.25000000000025,3.825,-5.79999984353781)); +#5193=CARTESIAN_POINT('Origin',(-3.60000000000001,4.8,-5.7999998435378)); +#5194=CARTESIAN_POINT('',(-3.6000001004711,0.2,-2.89999990877695)); +#5195=CARTESIAN_POINT('Origin',(-4.35,0.2,0.)); +#5196=CARTESIAN_POINT('',(4.35,0.2,0.)); +#5197=CARTESIAN_POINT('',(-4.35,0.2,0.)); +#5198=CARTESIAN_POINT('Origin',(-3.25000000000025,3.35,-5.40000000000001)); +#5199=CARTESIAN_POINT('',(-2.74999999999976,3.35,-5.40000000000001)); +#5200=CARTESIAN_POINT('',(-3.25000000000025,3.35,-5.40000000000001)); +#5201=CARTESIAN_POINT('Origin',(-2.74999999999976,3.35,-5.40000000000001)); +#5202=CARTESIAN_POINT('',(-2.74999999999976,2.85,-5.40000000000001)); +#5203=CARTESIAN_POINT('Origin',(-2.74999999999976,2.85,-5.40000000000001)); +#5204=CARTESIAN_POINT('',(-3.25000000000025,2.85,-5.40000000000001)); +#5205=CARTESIAN_POINT('Origin',(-3.25000000000025,2.85,-5.40000000000001)); +#5206=CARTESIAN_POINT('Origin',(-4.35,0.,0.)); +#5207=CARTESIAN_POINT('Origin',(4.35,0.2,0.)); +#5208=CARTESIAN_POINT('Origin',(4.95,0.599999999999992,0.)); +#5209=CARTESIAN_POINT('Origin',(5.95,2.4,-3.)); +#5210=CARTESIAN_POINT('Origin',(5.05,2.,-3.3)); +#5211=CARTESIAN_POINT('',(5.55,2.,-3.3)); +#5212=CARTESIAN_POINT('',(5.55,2.,0.)); +#5213=CARTESIAN_POINT('',(5.55,2.,-2.475)); +#5214=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#5215=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#5216=CARTESIAN_POINT('',(5.05,2.,0.)); +#5217=CARTESIAN_POINT('',(5.05,2.,-3.3)); +#5218=CARTESIAN_POINT('',(5.05,2.,0.)); +#5219=CARTESIAN_POINT('Origin',(5.05,0.,-3.3)); +#5220=CARTESIAN_POINT('',(5.55,0.,-3.3)); +#5221=CARTESIAN_POINT('',(5.55,0.5,-3.3)); +#5222=CARTESIAN_POINT('',(5.05,0.,-3.3)); +#5223=CARTESIAN_POINT('',(5.05,0.,-3.3)); +#5224=CARTESIAN_POINT('',(5.05,0.,-3.3)); +#5225=CARTESIAN_POINT('Origin',(5.05,0.,0.)); +#5226=CARTESIAN_POINT('',(5.55,0.,0.)); +#5227=CARTESIAN_POINT('',(5.55,0.,-0.825)); +#5228=CARTESIAN_POINT('',(5.05,0.,0.)); +#5229=CARTESIAN_POINT('',(5.05,0.,0.)); +#5230=CARTESIAN_POINT('',(5.05,0.,0.)); +#5231=CARTESIAN_POINT('Origin',(5.05,2.,0.)); +#5232=CARTESIAN_POINT('',(5.55,1.5,0.)); +#5233=CARTESIAN_POINT('',(5.05,2.,0.)); +#5234=CARTESIAN_POINT('Origin',(5.05,1.,-1.65)); +#5235=CARTESIAN_POINT('Origin',(5.55,1.,-1.65)); +#5236=CARTESIAN_POINT('Origin',(-5.05,2.,-3.3)); +#5237=CARTESIAN_POINT('',(-5.55,2.,-3.3)); +#5238=CARTESIAN_POINT('',(-5.55,2.,0.)); +#5239=CARTESIAN_POINT('',(-5.55,2.,-2.475)); +#5240=CARTESIAN_POINT('',(-5.05,2.,0.)); +#5241=CARTESIAN_POINT('',(-5.05,2.,0.)); +#5242=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#5243=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#5244=CARTESIAN_POINT('',(-5.05,2.,-3.3)); +#5245=CARTESIAN_POINT('Origin',(-5.05,0.,-3.3)); +#5246=CARTESIAN_POINT('',(-5.55,0.,-3.3)); +#5247=CARTESIAN_POINT('',(-5.55,0.5,-3.3)); +#5248=CARTESIAN_POINT('',(-5.05,0.,-3.3)); +#5249=CARTESIAN_POINT('',(-5.05,0.,-3.3)); +#5250=CARTESIAN_POINT('',(-5.05,0.,-3.3)); +#5251=CARTESIAN_POINT('Origin',(-5.05,0.,0.)); +#5252=CARTESIAN_POINT('',(-5.55,0.,0.)); +#5253=CARTESIAN_POINT('',(-5.55,0.,-0.825)); +#5254=CARTESIAN_POINT('',(-5.05,0.,0.)); +#5255=CARTESIAN_POINT('',(-5.05,0.,0.)); +#5256=CARTESIAN_POINT('',(-5.05,0.,0.)); +#5257=CARTESIAN_POINT('Origin',(-5.05,2.,0.)); +#5258=CARTESIAN_POINT('',(-5.55,1.5,0.)); +#5259=CARTESIAN_POINT('',(-5.05,2.,0.)); +#5260=CARTESIAN_POINT('Origin',(-5.05,1.,-1.65)); +#5261=CARTESIAN_POINT('Origin',(-5.55,1.,-1.65)); +#5262=CARTESIAN_POINT('Origin',(-2.80000000000001,1.8,-8.55)); +#5263=CARTESIAN_POINT('',(-2.85000000000001,0.100000000000001,-8.6)); +#5264=CARTESIAN_POINT('',(-2.85000000000001,0.400000000000001,-8.6)); +#5265=CARTESIAN_POINT('',(-2.85000000000001,1.8,-8.6)); +#5266=CARTESIAN_POINT('',(-2.75000000000001,0.5,-8.5)); +#5267=CARTESIAN_POINT('',(-2.85000000000001,0.400000000000001,-8.6)); +#5268=CARTESIAN_POINT('',(-2.75000000000001,1.09522001077887E-15,-8.5)); +#5269=CARTESIAN_POINT('',(-2.75000000000001,1.8,-8.5)); +#5270=CARTESIAN_POINT('',(-2.85000000000001,0.100000000000001,-8.6)); +#5271=CARTESIAN_POINT('Origin',(-3.12500000000001,0.450000000000002,-8.55)); +#5272=CARTESIAN_POINT('',(-3.15,0.400000000000002,-8.6)); +#5273=CARTESIAN_POINT('',(-3.12500000000001,0.400000000000002,-8.6)); +#5274=CARTESIAN_POINT('',(-3.25000000000001,0.500000000000002,-8.5)); +#5275=CARTESIAN_POINT('',(-3.15,0.400000000000002,-8.6)); +#5276=CARTESIAN_POINT('',(-3.12500000000001,0.500000000000002,-8.5)); +#5277=CARTESIAN_POINT('Origin',(-3.20000000000001,1.55,-8.55)); +#5278=CARTESIAN_POINT('',(-3.15,0.100000000000001,-8.6)); +#5279=CARTESIAN_POINT('',(-3.15,1.55,-8.6)); +#5280=CARTESIAN_POINT('',(-3.25000000000001,1.09522001077887E-15,-8.5)); +#5281=CARTESIAN_POINT('',(-3.15,0.100000000000001,-8.6)); +#5282=CARTESIAN_POINT('',(-3.25000000000001,1.55,-8.5)); +#5283=CARTESIAN_POINT('Origin',(-2.87500000000001,0.0500000000000014,-8.55)); +#5284=CARTESIAN_POINT('',(-2.87500000000001,1.37043154602168E-15,-8.5)); +#5285=CARTESIAN_POINT('',(-2.87500000000001,0.100000000000001,-8.6)); +#5286=CARTESIAN_POINT('Origin',(-2.75000000000001,1.11022302462516E-15, +-6.75)); +#5287=CARTESIAN_POINT('',(-2.75000000000001,8.32667268468867E-16,-6.75)); +#5288=CARTESIAN_POINT('',(-2.75000000000001,8.32667268468867E-16,-6.75)); +#5289=CARTESIAN_POINT('',(-3.25000000000001,8.32667268468867E-16,-6.75)); +#5290=CARTESIAN_POINT('',(-2.75000000000001,1.11022302462516E-15,-6.75)); +#5291=CARTESIAN_POINT('',(-3.25000000000001,8.32667268468867E-16,-6.75)); +#5292=CARTESIAN_POINT('Origin',(-2.75000000000001,3.35,0.)); +#5293=CARTESIAN_POINT('',(-2.75000000000001,0.5,-6.75)); +#5294=CARTESIAN_POINT('',(-2.75000000000001,0.5,-6.75)); +#5295=CARTESIAN_POINT('',(-2.75000000000001,0.750000000000002,-6.5)); +#5296=CARTESIAN_POINT('Origin',(-2.75000000000001,0.750000000000002,-6.75)); +#5297=CARTESIAN_POINT('',(-2.75000000000001,2.6,-6.5)); +#5298=CARTESIAN_POINT('',(-2.75000000000001,2.6,-6.5)); +#5299=CARTESIAN_POINT('',(-2.75000000000001,3.35,-5.75)); +#5300=CARTESIAN_POINT('Origin',(-2.75000000000001,2.6,-5.75)); +#5301=CARTESIAN_POINT('',(-2.75000000000001,3.35,-0.5)); +#5302=CARTESIAN_POINT('',(-2.75000000000001,3.35,0.)); +#5303=CARTESIAN_POINT('',(-2.75000000000001,2.85,-0.5)); +#5304=CARTESIAN_POINT('',(-2.75000000000001,3.225,-0.5)); +#5305=CARTESIAN_POINT('',(-2.75000000000001,2.85,-5.75)); +#5306=CARTESIAN_POINT('',(-2.75000000000001,2.85,0.)); +#5307=CARTESIAN_POINT('',(-2.75000000000001,2.6,-6.)); +#5308=CARTESIAN_POINT('Origin',(-2.75000000000001,2.6,-5.75)); +#5309=CARTESIAN_POINT('',(-2.75000000000001,0.750000000000002,-6.)); +#5310=CARTESIAN_POINT('',(-2.75000000000001,2.6,-6.)); +#5311=CARTESIAN_POINT('Origin',(-2.75000000000001,0.750000000000002,-6.75)); +#5312=CARTESIAN_POINT('Origin',(-3.00000000000001,0.250000000000001,-8.6)); +#5313=CARTESIAN_POINT('Origin',(-3.20000000000001,2.975,-0.45)); +#5314=CARTESIAN_POINT('',(-3.25000000000001,3.35,-0.5)); +#5315=CARTESIAN_POINT('',(-3.25000000000001,2.85,-0.5)); +#5316=CARTESIAN_POINT('',(-3.25000000000001,2.975,-0.5)); +#5317=CARTESIAN_POINT('',(-3.15,2.95,-0.4)); +#5318=CARTESIAN_POINT('',(-3.25000000000001,2.85,-0.5)); +#5319=CARTESIAN_POINT('',(-3.15,3.25,-0.4)); +#5320=CARTESIAN_POINT('',(-3.15,2.975,-0.4)); +#5321=CARTESIAN_POINT('',(-3.25000000000001,3.35,-0.5)); +#5322=CARTESIAN_POINT('Origin',(-2.87500000000001,2.9,-0.45)); +#5323=CARTESIAN_POINT('',(-2.87500000000001,2.85,-0.5)); +#5324=CARTESIAN_POINT('',(-2.85000000000001,2.95,-0.4)); +#5325=CARTESIAN_POINT('',(-2.75000000000001,2.85,-0.5)); +#5326=CARTESIAN_POINT('',(-2.87500000000001,2.95,-0.4)); +#5327=CARTESIAN_POINT('Origin',(-3.12500000000001,3.3,-0.45)); +#5328=CARTESIAN_POINT('',(-2.85000000000001,3.25,-0.4)); +#5329=CARTESIAN_POINT('',(-3.12500000000001,3.25,-0.4)); +#5330=CARTESIAN_POINT('',(-2.85000000000001,3.25,-0.4)); +#5331=CARTESIAN_POINT('',(-3.12500000000001,3.35,-0.5)); +#5332=CARTESIAN_POINT('Origin',(-2.80000000000001,3.225,-0.45)); +#5333=CARTESIAN_POINT('',(-2.85000000000001,3.225,-0.4)); +#5334=CARTESIAN_POINT('Origin',(-2.75000000000001,2.85,0.)); +#5335=CARTESIAN_POINT('',(-3.25000000000001,2.85,-5.75)); +#5336=CARTESIAN_POINT('',(-3.25000000000001,2.85,0.)); +#5337=CARTESIAN_POINT('',(-2.75000000000001,2.85,-5.75)); +#5338=CARTESIAN_POINT('Origin',(-3.25000000000001,2.85,0.)); +#5339=CARTESIAN_POINT('',(-3.25000000000001,0.750000000000002,-6.)); +#5340=CARTESIAN_POINT('Origin',(-3.25000000000001,0.750000000000002,-6.75)); +#5341=CARTESIAN_POINT('',(-3.25000000000001,2.6,-6.)); +#5342=CARTESIAN_POINT('',(-3.25000000000001,2.6,-6.)); +#5343=CARTESIAN_POINT('Origin',(-3.25000000000001,2.6,-5.75)); +#5344=CARTESIAN_POINT('',(-3.25000000000001,3.35,-5.75)); +#5345=CARTESIAN_POINT('',(-3.25000000000001,3.35,0.)); +#5346=CARTESIAN_POINT('',(-3.25000000000001,2.6,-6.5)); +#5347=CARTESIAN_POINT('Origin',(-3.25000000000001,2.6,-5.75)); +#5348=CARTESIAN_POINT('',(-3.25000000000001,0.750000000000002,-6.5)); +#5349=CARTESIAN_POINT('',(-3.25000000000001,2.6,-6.5)); +#5350=CARTESIAN_POINT('',(-3.25000000000001,0.500000000000001,-6.75)); +#5351=CARTESIAN_POINT('Origin',(-3.25000000000001,0.750000000000001,-6.75)); +#5352=CARTESIAN_POINT('',(-3.25000000000001,0.500000000000001,-6.75)); +#5353=CARTESIAN_POINT('Origin',(-3.00000000000001,3.1,-0.4)); +#5354=CARTESIAN_POINT('Origin',(-3.25000000000001,0.500000000000002,-6.75)); +#5355=CARTESIAN_POINT('',(-3.25000000000001,0.500000000000002,-6.75)); +#5356=CARTESIAN_POINT('Origin',(-3.00000000000001,0.750000000000002,-6.75)); +#5357=CARTESIAN_POINT('',(-2.75000000000001,0.750000000000002,-6.)); +#5358=CARTESIAN_POINT('Origin',(-3.00000000000001,0.750000000000002,-6.75)); +#5359=CARTESIAN_POINT('',(-3.25000000000001,0.750000000000002,-6.5)); +#5360=CARTESIAN_POINT('Origin',(-2.75000000000001,2.6,-6.)); +#5361=CARTESIAN_POINT('',(-2.75000000000001,2.6,-6.)); +#5362=CARTESIAN_POINT('Origin',(-3.25000000000001,2.6,-6.5)); +#5363=CARTESIAN_POINT('',(-3.25000000000001,2.6,-6.5)); +#5364=CARTESIAN_POINT('Origin',(-3.00000000000001,2.6,-5.75)); +#5365=CARTESIAN_POINT('Origin',(-3.00000000000001,2.6,-5.75)); +#5366=CARTESIAN_POINT('',(-3.25000000000001,3.35,-5.75)); +#5367=CARTESIAN_POINT('Origin',(-3.25000000000001,3.35,0.)); +#5368=CARTESIAN_POINT('Origin',(-0.800000000000007,1.8,-8.55)); +#5369=CARTESIAN_POINT('',(-0.850000000000007,0.100000000000001,-8.6)); +#5370=CARTESIAN_POINT('',(-0.850000000000007,0.400000000000001,-8.6)); +#5371=CARTESIAN_POINT('',(-0.850000000000007,1.8,-8.6)); +#5372=CARTESIAN_POINT('',(-0.750000000000007,0.5,-8.5)); +#5373=CARTESIAN_POINT('',(-0.850000000000007,0.400000000000001,-8.6)); +#5374=CARTESIAN_POINT('',(-0.750000000000007,1.09522001077887E-15,-8.5)); +#5375=CARTESIAN_POINT('',(-0.750000000000007,1.8,-8.5)); +#5376=CARTESIAN_POINT('',(-0.850000000000007,0.100000000000001,-8.6)); +#5377=CARTESIAN_POINT('Origin',(-1.12500000000001,0.450000000000002,-8.55)); +#5378=CARTESIAN_POINT('',(-1.15,0.400000000000002,-8.6)); +#5379=CARTESIAN_POINT('',(-1.12500000000001,0.400000000000002,-8.6)); +#5380=CARTESIAN_POINT('',(-1.25,0.500000000000002,-8.5)); +#5381=CARTESIAN_POINT('',(-1.15,0.400000000000002,-8.6)); +#5382=CARTESIAN_POINT('',(-1.12500000000001,0.500000000000002,-8.5)); +#5383=CARTESIAN_POINT('Origin',(-1.20000000000001,1.55,-8.55)); +#5384=CARTESIAN_POINT('',(-1.15,0.100000000000001,-8.6)); +#5385=CARTESIAN_POINT('',(-1.15,1.55,-8.6)); +#5386=CARTESIAN_POINT('',(-1.25,1.09522001077887E-15,-8.5)); +#5387=CARTESIAN_POINT('',(-1.15,0.100000000000001,-8.6)); +#5388=CARTESIAN_POINT('',(-1.25,1.55,-8.5)); +#5389=CARTESIAN_POINT('Origin',(-0.875000000000006,0.0500000000000014,-8.55)); +#5390=CARTESIAN_POINT('',(-0.875000000000006,1.37043154602168E-15,-8.5)); +#5391=CARTESIAN_POINT('',(-0.875000000000006,0.100000000000001,-8.6)); +#5392=CARTESIAN_POINT('Origin',(-0.750000000000007,1.11022302462516E-15, +-6.75)); +#5393=CARTESIAN_POINT('',(-0.750000000000007,8.32667268468867E-16,-6.75)); +#5394=CARTESIAN_POINT('',(-0.750000000000007,8.32667268468867E-16,-6.75)); +#5395=CARTESIAN_POINT('',(-1.25,8.32667268468867E-16,-6.75)); +#5396=CARTESIAN_POINT('',(-0.750000000000007,1.11022302462516E-15,-6.75)); +#5397=CARTESIAN_POINT('',(-1.25,8.32667268468867E-16,-6.75)); +#5398=CARTESIAN_POINT('Origin',(-0.750000000000007,3.35,0.)); +#5399=CARTESIAN_POINT('',(-0.750000000000007,0.5,-6.75)); +#5400=CARTESIAN_POINT('',(-0.750000000000007,0.5,-6.75)); +#5401=CARTESIAN_POINT('',(-0.750000000000007,0.750000000000002,-6.5)); +#5402=CARTESIAN_POINT('Origin',(-0.750000000000007,0.750000000000002,-6.75)); +#5403=CARTESIAN_POINT('',(-0.750000000000007,2.6,-6.5)); +#5404=CARTESIAN_POINT('',(-0.750000000000007,2.6,-6.5)); +#5405=CARTESIAN_POINT('',(-0.750000000000007,3.35,-5.75)); +#5406=CARTESIAN_POINT('Origin',(-0.750000000000007,2.6,-5.75)); +#5407=CARTESIAN_POINT('',(-0.750000000000007,3.35,-0.5)); +#5408=CARTESIAN_POINT('',(-0.750000000000007,3.35,0.)); +#5409=CARTESIAN_POINT('',(-0.750000000000007,2.85,-0.5)); +#5410=CARTESIAN_POINT('',(-0.750000000000007,3.225,-0.5)); +#5411=CARTESIAN_POINT('',(-0.750000000000007,2.85,-5.75)); +#5412=CARTESIAN_POINT('',(-0.750000000000007,2.85,0.)); +#5413=CARTESIAN_POINT('',(-0.750000000000007,2.6,-6.)); +#5414=CARTESIAN_POINT('Origin',(-0.750000000000007,2.6,-5.75)); +#5415=CARTESIAN_POINT('',(-0.750000000000007,0.750000000000002,-6.)); +#5416=CARTESIAN_POINT('',(-0.750000000000007,2.6,-6.)); +#5417=CARTESIAN_POINT('Origin',(-0.750000000000007,0.750000000000002,-6.75)); +#5418=CARTESIAN_POINT('Origin',(-1.00000000000001,0.250000000000001,-8.6)); +#5419=CARTESIAN_POINT('Origin',(-1.20000000000001,2.975,-0.45)); +#5420=CARTESIAN_POINT('',(-1.25,3.35,-0.5)); +#5421=CARTESIAN_POINT('',(-1.25,2.85,-0.5)); +#5422=CARTESIAN_POINT('',(-1.25,2.975,-0.5)); +#5423=CARTESIAN_POINT('',(-1.15,2.95,-0.4)); +#5424=CARTESIAN_POINT('',(-1.25,2.85,-0.5)); +#5425=CARTESIAN_POINT('',(-1.15,3.25,-0.4)); +#5426=CARTESIAN_POINT('',(-1.15,2.975,-0.4)); +#5427=CARTESIAN_POINT('',(-1.25,3.35,-0.5)); +#5428=CARTESIAN_POINT('Origin',(-0.875000000000006,2.9,-0.45)); +#5429=CARTESIAN_POINT('',(-0.875000000000006,2.85,-0.5)); +#5430=CARTESIAN_POINT('',(-0.850000000000007,2.95,-0.4)); +#5431=CARTESIAN_POINT('',(-0.750000000000007,2.85,-0.5)); +#5432=CARTESIAN_POINT('',(-0.875000000000006,2.95,-0.4)); +#5433=CARTESIAN_POINT('Origin',(-1.12500000000001,3.3,-0.45)); +#5434=CARTESIAN_POINT('',(-0.850000000000007,3.25,-0.4)); +#5435=CARTESIAN_POINT('',(-1.12500000000001,3.25,-0.4)); +#5436=CARTESIAN_POINT('',(-0.850000000000007,3.25,-0.4)); +#5437=CARTESIAN_POINT('',(-1.12500000000001,3.35,-0.5)); +#5438=CARTESIAN_POINT('Origin',(-0.800000000000007,3.225,-0.45)); +#5439=CARTESIAN_POINT('',(-0.850000000000007,3.225,-0.4)); +#5440=CARTESIAN_POINT('Origin',(-0.750000000000007,2.85,0.)); +#5441=CARTESIAN_POINT('',(-1.25,2.85,-5.75)); +#5442=CARTESIAN_POINT('',(-1.25,2.85,0.)); +#5443=CARTESIAN_POINT('',(-0.750000000000007,2.85,-5.75)); +#5444=CARTESIAN_POINT('Origin',(-1.25,2.85,0.)); +#5445=CARTESIAN_POINT('',(-1.25,0.750000000000002,-6.)); +#5446=CARTESIAN_POINT('Origin',(-1.25,0.750000000000002,-6.75)); +#5447=CARTESIAN_POINT('',(-1.25,2.6,-6.)); +#5448=CARTESIAN_POINT('',(-1.25,2.6,-6.)); +#5449=CARTESIAN_POINT('Origin',(-1.25,2.6,-5.75)); +#5450=CARTESIAN_POINT('',(-1.25,3.35,-5.75)); +#5451=CARTESIAN_POINT('',(-1.25,3.35,0.)); +#5452=CARTESIAN_POINT('',(-1.25,2.6,-6.5)); +#5453=CARTESIAN_POINT('Origin',(-1.25,2.6,-5.75)); +#5454=CARTESIAN_POINT('',(-1.25,0.750000000000002,-6.5)); +#5455=CARTESIAN_POINT('',(-1.25,2.6,-6.5)); +#5456=CARTESIAN_POINT('',(-1.25,0.500000000000001,-6.75)); +#5457=CARTESIAN_POINT('Origin',(-1.25,0.750000000000001,-6.75)); +#5458=CARTESIAN_POINT('',(-1.25,0.500000000000001,-6.75)); +#5459=CARTESIAN_POINT('Origin',(-1.00000000000001,3.1,-0.4)); +#5460=CARTESIAN_POINT('Origin',(-1.25,0.500000000000002,-6.75)); +#5461=CARTESIAN_POINT('',(-1.25,0.500000000000002,-6.75)); +#5462=CARTESIAN_POINT('Origin',(-1.00000000000001,0.750000000000002,-6.75)); +#5463=CARTESIAN_POINT('',(-0.750000000000007,0.750000000000002,-6.)); +#5464=CARTESIAN_POINT('Origin',(-1.00000000000001,0.750000000000002,-6.75)); +#5465=CARTESIAN_POINT('',(-1.25,0.750000000000002,-6.5)); +#5466=CARTESIAN_POINT('Origin',(-0.750000000000007,2.6,-6.)); +#5467=CARTESIAN_POINT('',(-0.750000000000007,2.6,-6.)); +#5468=CARTESIAN_POINT('Origin',(-1.25,2.6,-6.5)); +#5469=CARTESIAN_POINT('',(-1.25,2.6,-6.5)); +#5470=CARTESIAN_POINT('Origin',(-1.00000000000001,2.6,-5.75)); +#5471=CARTESIAN_POINT('Origin',(-1.00000000000001,2.6,-5.75)); +#5472=CARTESIAN_POINT('',(-1.25,3.35,-5.75)); +#5473=CARTESIAN_POINT('Origin',(-1.25,3.35,0.)); +#5474=CARTESIAN_POINT('Origin',(1.19999999999999,1.8,-8.55)); +#5475=CARTESIAN_POINT('',(1.14999999999999,0.100000000000001,-8.6)); +#5476=CARTESIAN_POINT('',(1.14999999999999,0.400000000000001,-8.6)); +#5477=CARTESIAN_POINT('',(1.14999999999999,1.8,-8.6)); +#5478=CARTESIAN_POINT('',(1.24999999999999,0.5,-8.5)); +#5479=CARTESIAN_POINT('',(1.14999999999999,0.400000000000001,-8.6)); +#5480=CARTESIAN_POINT('',(1.24999999999999,1.09522001077887E-15,-8.5)); +#5481=CARTESIAN_POINT('',(1.24999999999999,1.8,-8.5)); +#5482=CARTESIAN_POINT('',(1.14999999999999,0.100000000000001,-8.6)); +#5483=CARTESIAN_POINT('Origin',(0.874999999999995,0.450000000000002,-8.55)); +#5484=CARTESIAN_POINT('',(0.849999999999995,0.400000000000002,-8.6)); +#5485=CARTESIAN_POINT('',(0.874999999999995,0.400000000000002,-8.6)); +#5486=CARTESIAN_POINT('',(0.749999999999995,0.500000000000002,-8.5)); +#5487=CARTESIAN_POINT('',(0.849999999999995,0.400000000000002,-8.6)); +#5488=CARTESIAN_POINT('',(0.874999999999995,0.500000000000002,-8.5)); +#5489=CARTESIAN_POINT('Origin',(0.799999999999995,1.55,-8.55)); +#5490=CARTESIAN_POINT('',(0.849999999999995,0.100000000000001,-8.6)); +#5491=CARTESIAN_POINT('',(0.849999999999995,1.55,-8.6)); +#5492=CARTESIAN_POINT('',(0.749999999999995,1.09522001077887E-15,-8.5)); +#5493=CARTESIAN_POINT('',(0.849999999999995,0.100000000000001,-8.6)); +#5494=CARTESIAN_POINT('',(0.749999999999995,1.55,-8.5)); +#5495=CARTESIAN_POINT('Origin',(1.12499999999999,0.0500000000000014,-8.55)); +#5496=CARTESIAN_POINT('',(1.12499999999999,1.37043154602168E-15,-8.5)); +#5497=CARTESIAN_POINT('',(1.12499999999999,0.100000000000001,-8.6)); +#5498=CARTESIAN_POINT('Origin',(1.24999999999999,1.11022302462516E-15,-6.75)); +#5499=CARTESIAN_POINT('',(1.24999999999999,8.32667268468867E-16,-6.75)); +#5500=CARTESIAN_POINT('',(1.24999999999999,8.32667268468867E-16,-6.75)); +#5501=CARTESIAN_POINT('',(0.749999999999995,8.32667268468867E-16,-6.75)); +#5502=CARTESIAN_POINT('',(1.24999999999999,1.11022302462516E-15,-6.75)); +#5503=CARTESIAN_POINT('',(0.749999999999995,8.32667268468867E-16,-6.75)); +#5504=CARTESIAN_POINT('Origin',(1.24999999999999,3.35,0.)); +#5505=CARTESIAN_POINT('',(1.24999999999999,0.5,-6.75)); +#5506=CARTESIAN_POINT('',(1.24999999999999,0.5,-6.75)); +#5507=CARTESIAN_POINT('',(1.24999999999999,0.750000000000002,-6.5)); +#5508=CARTESIAN_POINT('Origin',(1.24999999999999,0.750000000000002,-6.75)); +#5509=CARTESIAN_POINT('',(1.24999999999999,2.6,-6.5)); +#5510=CARTESIAN_POINT('',(1.24999999999999,2.6,-6.5)); +#5511=CARTESIAN_POINT('',(1.24999999999999,3.35,-5.75)); +#5512=CARTESIAN_POINT('Origin',(1.24999999999999,2.6,-5.75)); +#5513=CARTESIAN_POINT('',(1.24999999999999,3.35,-0.5)); +#5514=CARTESIAN_POINT('',(1.24999999999999,3.35,0.)); +#5515=CARTESIAN_POINT('',(1.24999999999999,2.85,-0.5)); +#5516=CARTESIAN_POINT('',(1.24999999999999,3.225,-0.5)); +#5517=CARTESIAN_POINT('',(1.24999999999999,2.85,-5.75)); +#5518=CARTESIAN_POINT('',(1.24999999999999,2.85,0.)); +#5519=CARTESIAN_POINT('',(1.24999999999999,2.6,-6.)); +#5520=CARTESIAN_POINT('Origin',(1.24999999999999,2.6,-5.75)); +#5521=CARTESIAN_POINT('',(1.24999999999999,0.750000000000002,-6.)); +#5522=CARTESIAN_POINT('',(1.24999999999999,2.6,-6.)); +#5523=CARTESIAN_POINT('Origin',(1.24999999999999,0.750000000000002,-6.75)); +#5524=CARTESIAN_POINT('Origin',(0.999999999999994,0.250000000000001,-8.6)); +#5525=CARTESIAN_POINT('Origin',(0.799999999999995,2.975,-0.45)); +#5526=CARTESIAN_POINT('',(0.749999999999995,3.35,-0.5)); +#5527=CARTESIAN_POINT('',(0.749999999999995,2.85,-0.5)); +#5528=CARTESIAN_POINT('',(0.749999999999995,2.975,-0.5)); +#5529=CARTESIAN_POINT('',(0.849999999999995,2.95,-0.4)); +#5530=CARTESIAN_POINT('',(0.749999999999995,2.85,-0.5)); +#5531=CARTESIAN_POINT('',(0.849999999999995,3.25,-0.4)); +#5532=CARTESIAN_POINT('',(0.849999999999995,2.975,-0.4)); +#5533=CARTESIAN_POINT('',(0.749999999999995,3.35,-0.5)); +#5534=CARTESIAN_POINT('Origin',(1.12499999999999,2.9,-0.45)); +#5535=CARTESIAN_POINT('',(1.12499999999999,2.85,-0.5)); +#5536=CARTESIAN_POINT('',(1.14999999999999,2.95,-0.4)); +#5537=CARTESIAN_POINT('',(1.24999999999999,2.85,-0.5)); +#5538=CARTESIAN_POINT('',(1.12499999999999,2.95,-0.4)); +#5539=CARTESIAN_POINT('Origin',(0.874999999999995,3.3,-0.45)); +#5540=CARTESIAN_POINT('',(1.14999999999999,3.25,-0.4)); +#5541=CARTESIAN_POINT('',(0.874999999999995,3.25,-0.4)); +#5542=CARTESIAN_POINT('',(1.14999999999999,3.25,-0.4)); +#5543=CARTESIAN_POINT('',(0.874999999999995,3.35,-0.5)); +#5544=CARTESIAN_POINT('Origin',(1.19999999999999,3.225,-0.45)); +#5545=CARTESIAN_POINT('',(1.14999999999999,3.225,-0.4)); +#5546=CARTESIAN_POINT('Origin',(1.24999999999999,2.85,0.)); +#5547=CARTESIAN_POINT('',(0.749999999999995,2.85,-5.75)); +#5548=CARTESIAN_POINT('',(0.749999999999995,2.85,0.)); +#5549=CARTESIAN_POINT('',(1.24999999999999,2.85,-5.75)); +#5550=CARTESIAN_POINT('Origin',(0.749999999999995,2.85,0.)); +#5551=CARTESIAN_POINT('',(0.749999999999995,0.750000000000002,-6.)); +#5552=CARTESIAN_POINT('Origin',(0.749999999999995,0.750000000000002,-6.75)); +#5553=CARTESIAN_POINT('',(0.749999999999995,2.6,-6.)); +#5554=CARTESIAN_POINT('',(0.749999999999995,2.6,-6.)); +#5555=CARTESIAN_POINT('Origin',(0.749999999999995,2.6,-5.75)); +#5556=CARTESIAN_POINT('',(0.749999999999995,3.35,-5.75)); +#5557=CARTESIAN_POINT('',(0.749999999999995,3.35,0.)); +#5558=CARTESIAN_POINT('',(0.749999999999995,2.6,-6.5)); +#5559=CARTESIAN_POINT('Origin',(0.749999999999995,2.6,-5.75)); +#5560=CARTESIAN_POINT('',(0.749999999999995,0.750000000000002,-6.5)); +#5561=CARTESIAN_POINT('',(0.749999999999995,2.6,-6.5)); +#5562=CARTESIAN_POINT('',(0.749999999999995,0.500000000000001,-6.75)); +#5563=CARTESIAN_POINT('Origin',(0.749999999999995,0.750000000000001,-6.75)); +#5564=CARTESIAN_POINT('',(0.749999999999995,0.500000000000001,-6.75)); +#5565=CARTESIAN_POINT('Origin',(0.999999999999994,3.1,-0.4)); +#5566=CARTESIAN_POINT('Origin',(0.749999999999995,0.500000000000002,-6.75)); +#5567=CARTESIAN_POINT('',(0.749999999999995,0.500000000000002,-6.75)); +#5568=CARTESIAN_POINT('Origin',(0.999999999999994,0.750000000000002,-6.75)); +#5569=CARTESIAN_POINT('',(1.24999999999999,0.750000000000002,-6.)); +#5570=CARTESIAN_POINT('Origin',(0.999999999999994,0.750000000000002,-6.75)); +#5571=CARTESIAN_POINT('',(0.749999999999995,0.750000000000002,-6.5)); +#5572=CARTESIAN_POINT('Origin',(1.24999999999999,2.6,-6.)); +#5573=CARTESIAN_POINT('',(1.24999999999999,2.6,-6.)); +#5574=CARTESIAN_POINT('Origin',(0.749999999999995,2.6,-6.5)); +#5575=CARTESIAN_POINT('',(0.749999999999995,2.6,-6.5)); +#5576=CARTESIAN_POINT('Origin',(0.999999999999994,2.6,-5.75)); +#5577=CARTESIAN_POINT('Origin',(0.999999999999994,2.6,-5.75)); +#5578=CARTESIAN_POINT('',(0.749999999999995,3.35,-5.75)); +#5579=CARTESIAN_POINT('Origin',(0.749999999999995,3.35,0.)); +#5580=CARTESIAN_POINT('Origin',(3.19999999999999,1.8,-8.55)); +#5581=CARTESIAN_POINT('',(3.14999999999999,0.100000000000001,-8.6)); +#5582=CARTESIAN_POINT('',(3.14999999999999,0.400000000000001,-8.6)); +#5583=CARTESIAN_POINT('',(3.14999999999999,1.8,-8.6)); +#5584=CARTESIAN_POINT('',(3.24999999999999,0.5,-8.5)); +#5585=CARTESIAN_POINT('',(3.14999999999999,0.400000000000001,-8.6)); +#5586=CARTESIAN_POINT('',(3.24999999999999,1.09522001077887E-15,-8.5)); +#5587=CARTESIAN_POINT('',(3.24999999999999,1.8,-8.5)); +#5588=CARTESIAN_POINT('',(3.14999999999999,0.100000000000001,-8.6)); +#5589=CARTESIAN_POINT('Origin',(2.875,0.450000000000002,-8.55)); +#5590=CARTESIAN_POINT('',(2.85,0.400000000000002,-8.6)); +#5591=CARTESIAN_POINT('',(2.875,0.400000000000002,-8.6)); +#5592=CARTESIAN_POINT('',(2.75,0.500000000000002,-8.5)); +#5593=CARTESIAN_POINT('',(2.85,0.400000000000002,-8.6)); +#5594=CARTESIAN_POINT('',(2.875,0.500000000000002,-8.5)); +#5595=CARTESIAN_POINT('Origin',(2.8,1.55,-8.55)); +#5596=CARTESIAN_POINT('',(2.85,0.100000000000001,-8.6)); +#5597=CARTESIAN_POINT('',(2.85,1.55,-8.6)); +#5598=CARTESIAN_POINT('',(2.75,1.09522001077887E-15,-8.5)); +#5599=CARTESIAN_POINT('',(2.85,0.100000000000001,-8.6)); +#5600=CARTESIAN_POINT('',(2.75,1.55,-8.5)); +#5601=CARTESIAN_POINT('Origin',(3.12499999999999,0.0500000000000014,-8.55)); +#5602=CARTESIAN_POINT('',(3.12499999999999,1.37043154602168E-15,-8.5)); +#5603=CARTESIAN_POINT('',(3.12499999999999,0.100000000000001,-8.6)); +#5604=CARTESIAN_POINT('Origin',(3.24999999999999,1.11022302462516E-15,-6.75)); +#5605=CARTESIAN_POINT('',(3.24999999999999,8.32667268468867E-16,-6.75)); +#5606=CARTESIAN_POINT('',(3.24999999999999,8.32667268468867E-16,-6.75)); +#5607=CARTESIAN_POINT('',(2.75,8.32667268468867E-16,-6.75)); +#5608=CARTESIAN_POINT('',(3.24999999999999,1.11022302462516E-15,-6.75)); +#5609=CARTESIAN_POINT('',(2.75,8.32667268468867E-16,-6.75)); +#5610=CARTESIAN_POINT('Origin',(3.24999999999999,3.35,0.)); +#5611=CARTESIAN_POINT('',(3.24999999999999,0.5,-6.75)); +#5612=CARTESIAN_POINT('',(3.24999999999999,0.5,-6.75)); +#5613=CARTESIAN_POINT('',(3.24999999999999,0.750000000000002,-6.5)); +#5614=CARTESIAN_POINT('Origin',(3.24999999999999,0.750000000000002,-6.75)); +#5615=CARTESIAN_POINT('',(3.24999999999999,2.6,-6.5)); +#5616=CARTESIAN_POINT('',(3.24999999999999,2.6,-6.5)); +#5617=CARTESIAN_POINT('',(3.24999999999999,3.35,-5.75)); +#5618=CARTESIAN_POINT('Origin',(3.24999999999999,2.6,-5.75)); +#5619=CARTESIAN_POINT('',(3.24999999999999,3.35,-0.5)); +#5620=CARTESIAN_POINT('',(3.24999999999999,3.35,0.)); +#5621=CARTESIAN_POINT('',(3.24999999999999,2.85,-0.5)); +#5622=CARTESIAN_POINT('',(3.24999999999999,3.225,-0.5)); +#5623=CARTESIAN_POINT('',(3.24999999999999,2.85,-5.75)); +#5624=CARTESIAN_POINT('',(3.24999999999999,2.85,0.)); +#5625=CARTESIAN_POINT('',(3.24999999999999,2.6,-6.)); +#5626=CARTESIAN_POINT('Origin',(3.24999999999999,2.6,-5.75)); +#5627=CARTESIAN_POINT('',(3.24999999999999,0.750000000000002,-6.)); +#5628=CARTESIAN_POINT('',(3.24999999999999,2.6,-6.)); +#5629=CARTESIAN_POINT('Origin',(3.24999999999999,0.750000000000002,-6.75)); +#5630=CARTESIAN_POINT('Origin',(2.99999999999999,0.250000000000001,-8.6)); +#5631=CARTESIAN_POINT('Origin',(2.8,2.975,-0.45)); +#5632=CARTESIAN_POINT('',(2.75,3.35,-0.5)); +#5633=CARTESIAN_POINT('',(2.75,2.85,-0.5)); +#5634=CARTESIAN_POINT('',(2.75,2.975,-0.5)); +#5635=CARTESIAN_POINT('',(2.85,2.95,-0.4)); +#5636=CARTESIAN_POINT('',(2.75,2.85,-0.5)); +#5637=CARTESIAN_POINT('',(2.85,3.25,-0.4)); +#5638=CARTESIAN_POINT('',(2.85,2.975,-0.4)); +#5639=CARTESIAN_POINT('',(2.75,3.35,-0.5)); +#5640=CARTESIAN_POINT('Origin',(3.12499999999999,2.9,-0.45)); +#5641=CARTESIAN_POINT('',(3.12499999999999,2.85,-0.5)); +#5642=CARTESIAN_POINT('',(3.14999999999999,2.95,-0.4)); +#5643=CARTESIAN_POINT('',(3.24999999999999,2.85,-0.5)); +#5644=CARTESIAN_POINT('',(3.12499999999999,2.95,-0.4)); +#5645=CARTESIAN_POINT('Origin',(2.875,3.3,-0.45)); +#5646=CARTESIAN_POINT('',(3.14999999999999,3.25,-0.4)); +#5647=CARTESIAN_POINT('',(2.875,3.25,-0.4)); +#5648=CARTESIAN_POINT('',(3.14999999999999,3.25,-0.4)); +#5649=CARTESIAN_POINT('',(2.875,3.35,-0.5)); +#5650=CARTESIAN_POINT('Origin',(3.19999999999999,3.225,-0.45)); +#5651=CARTESIAN_POINT('',(3.14999999999999,3.225,-0.4)); +#5652=CARTESIAN_POINT('Origin',(3.24999999999999,2.85,0.)); +#5653=CARTESIAN_POINT('',(2.75,2.85,-5.75)); +#5654=CARTESIAN_POINT('',(2.75,2.85,0.)); +#5655=CARTESIAN_POINT('',(3.24999999999999,2.85,-5.75)); +#5656=CARTESIAN_POINT('Origin',(2.75,2.85,0.)); +#5657=CARTESIAN_POINT('',(2.75,0.750000000000002,-6.)); +#5658=CARTESIAN_POINT('Origin',(2.75,0.750000000000002,-6.75)); +#5659=CARTESIAN_POINT('',(2.75,2.6,-6.)); +#5660=CARTESIAN_POINT('',(2.75,2.6,-6.)); +#5661=CARTESIAN_POINT('Origin',(2.75,2.6,-5.75)); +#5662=CARTESIAN_POINT('',(2.75,3.35,-5.75)); +#5663=CARTESIAN_POINT('',(2.75,3.35,0.)); +#5664=CARTESIAN_POINT('',(2.75,2.6,-6.5)); +#5665=CARTESIAN_POINT('Origin',(2.75,2.6,-5.75)); +#5666=CARTESIAN_POINT('',(2.75,0.750000000000002,-6.5)); +#5667=CARTESIAN_POINT('',(2.75,2.6,-6.5)); +#5668=CARTESIAN_POINT('',(2.75,0.500000000000001,-6.75)); +#5669=CARTESIAN_POINT('Origin',(2.75,0.750000000000001,-6.75)); +#5670=CARTESIAN_POINT('',(2.75,0.500000000000001,-6.75)); +#5671=CARTESIAN_POINT('Origin',(2.99999999999999,3.1,-0.4)); +#5672=CARTESIAN_POINT('Origin',(2.75,0.500000000000002,-6.75)); +#5673=CARTESIAN_POINT('',(2.75,0.500000000000002,-6.75)); +#5674=CARTESIAN_POINT('Origin',(2.99999999999999,0.750000000000002,-6.75)); +#5675=CARTESIAN_POINT('',(3.24999999999999,0.750000000000002,-6.)); +#5676=CARTESIAN_POINT('Origin',(2.99999999999999,0.750000000000002,-6.75)); +#5677=CARTESIAN_POINT('',(2.75,0.750000000000002,-6.5)); +#5678=CARTESIAN_POINT('Origin',(3.24999999999999,2.6,-6.)); +#5679=CARTESIAN_POINT('',(3.24999999999999,2.6,-6.)); +#5680=CARTESIAN_POINT('Origin',(2.75,2.6,-6.5)); +#5681=CARTESIAN_POINT('',(2.75,2.6,-6.5)); +#5682=CARTESIAN_POINT('Origin',(2.99999999999999,2.6,-5.75)); +#5683=CARTESIAN_POINT('Origin',(2.99999999999999,2.6,-5.75)); +#5684=CARTESIAN_POINT('',(2.75,3.35,-5.75)); +#5685=CARTESIAN_POINT('Origin',(2.75,3.35,0.)); +#5686=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#5692, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#5687=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#5692, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#5688=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#5686)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#5692,#5691,#5690)) +REPRESENTATION_CONTEXT('','3D') +); +#5689=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#5687)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#5692,#5691,#5690)) +REPRESENTATION_CONTEXT('','3D') +); +#5690=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#5691=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#5692=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#5693=SHAPE_DEFINITION_REPRESENTATION(#5694,#5695); +#5694=PRODUCT_DEFINITION_SHAPE('',$,#5697); +#5695=SHAPE_REPRESENTATION('',(#3562),#5688); +#5696=PRODUCT_DEFINITION_CONTEXT('part definition',#5701,'design'); +#5697=PRODUCT_DEFINITION('S3B-PH-SM4-TB v8','S3B-PH-SM4-TB v8',#5698,#5696); +#5698=PRODUCT_DEFINITION_FORMATION('',$,#5703); +#5699=PRODUCT_RELATED_PRODUCT_CATEGORY('S3B-PH-SM4-TB v8', +'S3B-PH-SM4-TB v8',(#5703)); +#5700=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#5701); +#5701=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#5702=PRODUCT_CONTEXT('part definition',#5701,'mechanical'); +#5703=PRODUCT('S3B-PH-SM4-TB v8','S3B-PH-SM4-TB v8',$,(#5702)); +#5704=PRESENTATION_STYLE_ASSIGNMENT((#5707)); +#5705=PRESENTATION_STYLE_ASSIGNMENT((#5708)); +#5706=PRESENTATION_STYLE_ASSIGNMENT((#5709)); +#5707=SURFACE_STYLE_USAGE(.BOTH.,#5710); +#5708=SURFACE_STYLE_USAGE(.BOTH.,#5711); +#5709=SURFACE_STYLE_USAGE(.BOTH.,#5712); +#5710=SURFACE_SIDE_STYLE('',(#5713)); +#5711=SURFACE_SIDE_STYLE('',(#5714)); +#5712=SURFACE_SIDE_STYLE('',(#5715)); +#5713=SURFACE_STYLE_FILL_AREA(#5716); +#5714=SURFACE_STYLE_FILL_AREA(#5717); +#5715=SURFACE_STYLE_FILL_AREA(#5718); +#5716=FILL_AREA_STYLE('ABS (White)',(#5719)); +#5717=FILL_AREA_STYLE('Nylon 6-6 (White)',(#5720)); +#5718=FILL_AREA_STYLE('Brass - Polished',(#5721)); +#5719=FILL_AREA_STYLE_COLOUR('ABS (White)',#5722); +#5720=FILL_AREA_STYLE_COLOUR('Nylon 6-6 (White)',#5723); +#5721=FILL_AREA_STYLE_COLOUR('Brass - Polished',#5724); +#5722=COLOUR_RGB('ABS (White)',0.964705882352941,0.964705882352941,0.952941176470588); +#5723=COLOUR_RGB('Nylon 6-6 (White)',0.972549019607843,0.968627450980392, +0.929411764705882); +#5724=COLOUR_RGB('Brass - Polished',0.952941176470588,0.796078431372549, +0.486274509803922); +ENDSEC; +END-ISO-10303-21; diff --git a/pocket-reform-keyboard-kailh-ortho/pocket-reform-kbd-mcu.sch b/pocket-reform-keyboard-kailh-ortho/pocket-reform-kbd-mcu.sch new file mode 100644 index 0000000..884926c --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/pocket-reform-kbd-mcu.sch @@ -0,0 +1,930 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 2 2 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L power:GND #PWR013 +U 1 1 5A20720B +P 3100 4800 +F 0 "#PWR013" H 3100 4550 50 0001 C CNN +F 1 "GND" H 3100 4650 50 0000 C CNN +F 2 "" H 3100 4800 50 0001 C CNN +F 3 "" H 3100 4800 50 0001 C CNN + 1 3100 4800 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C6 +U 1 1 5A2073AA +P 1700 3800 +F 0 "C6" H 1710 3870 50 0000 L CNN +F 1 "18pF" H 1710 3720 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 1700 3800 50 0001 C CNN +F 3 "" H 1700 3800 50 0001 C CNN +F 4 "Yageo" H 1700 3800 50 0001 C CNN "Manufacturer" +F 5 "CC0603JRNPO9BN180" H 1700 3800 50 0001 C CNN "Manufacturer_No" + 1 1700 3800 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C7 +U 1 1 5A2073F7 +P 2300 3800 +F 0 "C7" H 2310 3870 50 0000 L CNN +F 1 "18pF" H 2310 3720 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 2300 3800 50 0001 C CNN +F 3 "" H 2300 3800 50 0001 C CNN +F 4 "Yageo" H 2300 3800 50 0001 C CNN "Manufacturer" +F 5 "CC0603JRNPO9BN180" H 2300 3800 50 0001 C CNN "Manufacturer_No" + 1 2300 3800 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR012 +U 1 1 5A207477 +P 2000 4050 +F 0 "#PWR012" H 2000 3800 50 0001 C CNN +F 1 "GND" H 2000 3900 50 0000 C CNN +F 2 "" H 2000 4050 50 0001 C CNN +F 3 "" H 2000 4050 50 0001 C CNN + 1 2000 4050 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C8 +U 1 1 5A20764E +P 3100 4650 +F 0 "C8" H 3110 4720 50 0000 L CNN +F 1 "1uF" H 3110 4570 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 3100 4650 50 0001 C CNN +F 3 "" H 3100 4650 50 0001 C CNN +F 4 "TDK" H 3100 4650 50 0001 C CNN "Manufacturer" +F 5 "C1608X8L1C105K080AC" H 3100 4650 50 0001 C CNN "Manufacturer_No" + 1 3100 4650 + 1 0 0 -1 +$EndComp +Text GLabel 2650 4100 0 60 Input ~ 0 +D+ +Text GLabel 2650 4200 0 60 Input ~ 0 +D- +$Comp +L power:GND #PWR021 +U 1 1 5A207A65 +P 3750 6500 +F 0 "#PWR021" H 3750 6250 50 0001 C CNN +F 1 "GND" H 3750 6350 50 0000 C CNN +F 2 "" H 3750 6500 50 0001 C CNN +F 3 "" H 3750 6500 50 0001 C CNN + 1 3750 6500 + 1 0 0 -1 +$EndComp +Text GLabel 2950 3100 0 60 Input ~ 0 +RESET +Text GLabel 4450 3700 2 60 Input ~ 0 +ROW1 +Text GLabel 4450 3600 2 60 Input ~ 0 +ROW2 +Text GLabel 4450 3500 2 60 Input ~ 0 +ROW3 +Text GLabel 4450 5000 2 60 Input ~ 0 +ROW4 +Text GLabel 4450 4900 2 60 Input ~ 0 +ROW5 +Text GLabel 4450 4800 2 60 Input ~ 0 +COL1 +Text GLabel 4450 6000 2 60 Input ~ 0 +COL2 +Text GLabel 4450 5300 2 60 Input ~ 0 +COL3 +Text GLabel 4450 4100 2 60 Input ~ 0 +COL4 +Text GLabel 4450 4000 2 60 Input ~ 0 +COL5 +Text GLabel 4450 4700 2 60 Input ~ 0 +COL6 +Text GLabel 4450 5900 2 60 Input ~ 0 +COL7 +Text GLabel 4450 5800 2 60 Input ~ 0 +COL8 +Text GLabel 4450 5700 2 60 Input ~ 0 +COL9 +Text GLabel 4450 5600 2 60 Input ~ 0 +COL10 +Text GLabel 4450 5500 2 60 Input ~ 0 +COL11 +$Comp +L Device:R_Small R5 +U 1 1 5A213EDF +P 2800 4200 +F 0 "R5" V 2900 4150 50 0000 L CNN +F 1 "22" V 2800 4150 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 2800 4200 50 0001 C CNN +F 3 "" H 2800 4200 50 0001 C CNN +F 4 "Yageo" H 2800 4200 50 0001 C CNN "Manufacturer" +F 5 "RC0603FR-0722RL" H 2800 4200 50 0001 C CNN "Manufacturer_No" + 1 2800 4200 + 0 1 1 0 +$EndComp +$Comp +L Device:R_Small R4 +U 1 1 5A213F64 +P 2800 4100 +F 0 "R4" V 2700 4050 50 0000 L CNN +F 1 "22" V 2800 4050 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 2800 4100 50 0001 C CNN +F 3 "" H 2800 4100 50 0001 C CNN +F 4 "Yageo" H 2800 4100 50 0001 C CNN "Manufacturer" +F 5 "RC0603FR-0722RL" H 2800 4100 50 0001 C CNN "Manufacturer_No" + 1 2800 4100 + 0 1 1 0 +$EndComp +$Comp +L Device:C_Small C4 +U 1 1 5A2148C0 +P 4450 2350 +F 0 "C4" H 4460 2420 50 0000 L CNN +F 1 "1uF" H 4460 2270 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 4450 2350 50 0001 C CNN +F 3 "" H 4450 2350 50 0001 C CNN +F 4 "TDK" H 4450 2350 50 0001 C CNN "Manufacturer" +F 5 "C1608X8L1C105K080AC" H 4450 2350 50 0001 C CNN "Manufacturer_No" + 1 4450 2350 + -1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C3 +U 1 1 5A214A09 +P 3450 2350 +F 0 "C3" H 3460 2420 50 0000 L CNN +F 1 "0.1uF" H 3460 2270 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 3450 2350 50 0001 C CNN +F 3 "" H 3450 2350 50 0001 C CNN +F 4 "Yageo" H 3450 2350 50 0001 C CNN "Manufacturer" +F 5 "CC0603JPX7R9BB104" H 3450 2350 50 0001 C CNN "Manufacturer_No" + 1 3450 2350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR010 +U 1 1 5A214AB7 +P 4700 2500 +F 0 "#PWR010" H 4700 2250 50 0001 C CNN +F 1 "GND" H 4700 2350 50 0000 C CNN +F 2 "" H 4700 2500 50 0001 C CNN +F 3 "" H 4700 2500 50 0001 C CNN + 1 4700 2500 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR09 +U 1 1 5A214B4F +P 3450 2500 +F 0 "#PWR09" H 3450 2250 50 0001 C CNN +F 1 "GND" H 3450 2350 50 0000 C CNN +F 2 "" H 3450 2500 50 0001 C CNN +F 3 "" H 3450 2500 50 0001 C CNN + 1 3450 2500 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR06 +U 1 1 5A635C68 +P 3750 2100 +F 0 "#PWR06" H 3750 1950 50 0001 C CNN +F 1 "+5V" H 3750 2240 50 0000 C CNN +F 2 "" H 3750 2100 50 0001 C CNN +F 3 "" H 3750 2100 50 0001 C CNN + 1 3750 2100 + 1 0 0 -1 +$EndComp +$Comp +L Device:R_Small R2 +U 1 1 5A21443A +P 3050 2750 +F 0 "R2" H 3080 2770 50 0000 L CNN +F 1 "10k" H 3080 2710 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 3050 2750 50 0001 C CNN +F 3 "" H 3050 2750 50 0001 C CNN +F 4 "Yageo" H 3050 2750 50 0001 C CNN "Manufacturer" +F 5 "RC0603FR-0710KL" H 3050 2750 50 0001 C CNN "Manufacturer_No" + 1 3050 2750 + 1 0 0 -1 +$EndComp +$Comp +L Device:Crystal_GND24 Y1 +U 1 1 5A6378F6 +P 2000 3500 +F 0 "Y1" H 2125 3700 50 0000 L CNN +F 1 "16MHz" H 2125 3625 50 0000 L CNN +F 2 "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm" H 2000 3500 50 0001 C CNN +F 3 "" H 2000 3500 50 0001 C CNN +F 4 "Abracon" H 2000 3500 50 0001 C CNN "Manufacturer" +F 5 "ABM8AIG-16.000MHz-4-T" H 2000 3500 50 0001 C CNN "Manufacturer_No" + 1 2000 3500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2300 3500 2300 3700 +Wire Wire Line + 1700 3200 1700 3500 +Wire Wire Line + 1700 4000 2000 4000 +Wire Wire Line + 2000 3700 2000 3750 +Wire Wire Line + 2300 4000 2300 3900 +Connection ~ 2000 4000 +Wire Wire Line + 3100 4800 3100 4750 +Wire Wire Line + 2700 4200 2650 4200 +Wire Wire Line + 2700 4100 2650 4100 +Wire Wire Line + 3050 2850 3050 3100 +Wire Wire Line + 2950 3100 3050 3100 +Connection ~ 3050 3100 +Wire Wire Line + 3450 2500 3450 2450 +Wire Wire Line + 4450 2250 4450 2200 +Wire Wire Line + 2300 3300 2300 3200 +Wire Wire Line + 2300 3200 1700 3200 +Connection ~ 1700 3500 +Connection ~ 2300 3500 +Wire Wire Line + 1700 4000 1700 3900 +Wire Wire Line + 2150 3500 2300 3500 +Wire Wire Line + 1850 3500 1700 3500 +Wire Wire Line + 2000 3300 1800 3300 +Wire Wire Line + 1800 3300 1800 3750 +Wire Wire Line + 1800 3750 2000 3750 +Connection ~ 2000 3750 +Wire Wire Line + 2000 4000 2300 4000 +Wire Wire Line + 2000 4000 2000 4050 +Wire Wire Line + 3050 3100 3250 3100 +Wire Wire Line + 1700 3500 1700 3700 +Wire Wire Line + 2000 3750 2000 4000 +Wire Wire Line + 2300 3300 3250 3300 +Wire Wire Line + 2300 3500 3250 3500 +Wire Wire Line + 2900 4100 3250 4100 +Wire Wire Line + 2900 4200 3250 4200 +Wire Wire Line + 3100 4400 3250 4400 +Wire Wire Line + 3100 4400 3100 4550 +Wire Wire Line + 3750 6400 3750 6500 +Wire Wire Line + 3850 6400 3750 6400 +Connection ~ 3750 6400 +Wire Wire Line + 3050 2450 3050 2500 +Connection ~ 3750 2200 +$Comp +L power:+5V #PWR011 +U 1 1 5CF326CA +P 2750 3900 +F 0 "#PWR011" H 2750 3750 50 0001 C CNN +F 1 "+5V" H 2750 4040 50 0000 C CNN +F 2 "" H 2750 3900 50 0001 C CNN +F 3 "" H 2750 3900 50 0001 C CNN + 1 2750 3900 + 1 0 0 -1 +$EndComp +Text GLabel 1550 2200 0 60 Input ~ 0 +PROG +Text GLabel 4450 5200 2 60 Input ~ 0 +PROG +$Comp +L Switch:SW_SPST SW61 +U 1 1 5CF3DA9E +P 1800 1600 +F 0 "SW61" H 1800 1835 50 0000 C CNN +F 1 "RESET" H 1800 1744 50 0000 C CNN +F 2 "Button_Switch_SMD:SW_Push_1P1T_NO_CK_KMR2" H 1800 1600 50 0001 C CNN +F 3 "~" H 1800 1600 50 0001 C CNN +F 4 "C&K" H 1800 1600 50 0001 C CNN "Manufacturer" +F 5 "KMR221GLFS" H 1800 1600 50 0001 C CNN "Manufacturer_No" + 1 1800 1600 + 1 0 0 -1 +$EndComp +Text GLabel 1550 1600 0 60 Input ~ 0 +RESET +Wire Wire Line + 1550 1600 1600 1600 +$Comp +L power:GND #PWR03 +U 1 1 5CF3FA6D +P 2100 1600 +F 0 "#PWR03" H 2100 1350 50 0001 C CNN +F 1 "GND" H 2100 1450 50 0000 C CNN +F 2 "" H 2100 1600 50 0001 C CNN +F 3 "" H 2100 1600 50 0001 C CNN + 1 2100 1600 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2100 1600 2000 1600 +$Comp +L power:GND #PWR07 +U 1 1 5CF5456D +P 2100 2250 +F 0 "#PWR07" H 2100 2000 50 0001 C CNN +F 1 "GND" H 2100 2100 50 0000 C CNN +F 2 "" H 2100 2250 50 0001 C CNN +F 3 "" H 2100 2250 50 0001 C CNN + 1 2100 2250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2100 2250 2100 2200 +$Comp +L Device:R_Small R3 +U 1 1 5CF5B5C6 +P 3150 3700 +F 0 "R3" H 3180 3720 50 0000 L CNN +F 1 "0" H 3180 3660 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 3150 3700 50 0001 C CNN +F 3 "" H 3150 3700 50 0001 C CNN +F 4 "Vishay Dale" H 3150 3700 50 0001 C CNN "Manufacturer" +F 5 "CRCW06030000Z0EAC" H 3150 3700 50 0001 C CNN "Manufacturer_No" + 1 3150 3700 + 0 1 1 0 +$EndComp +$Comp +L Connector_Generic:Conn_01x04 J2 +U 1 1 5CF60438 +P 8050 5650 +F 0 "J2" H 8050 5950 50 0000 C CNN +F 1 "UART" H 8050 5250 50 0000 C CNN +F 2 "Connector_JST:JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal" H 8050 5650 50 0001 C CNN +F 3 "" H 8050 5650 50 0001 C CNN +F 4 "JST" H 8050 5650 50 0001 C CNN "Manufacturer" +F 5 "S4B-PH-SM4-TB(LF)(SN)" H 8050 5650 50 0001 C CNN "Manufacturer_No" + 1 8050 5650 + 1 0 0 -1 +$EndComp +Text GLabel 7850 5650 0 60 Output ~ 0 +UART_RX +Text GLabel 4450 4600 2 60 Output ~ 0 +UART_TX +Text GLabel 4450 4500 2 60 Input ~ 0 +UART_RX +$Comp +L Mechanical:MountingHole_Pad H1 +U 1 1 5CFAB6F9 +P 2400 7150 +F 0 "H1" H 2500 7199 50 0000 L CNN +F 1 "MH1" H 2500 7108 50 0000 L CNN +F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 2400 7150 50 0001 C CNN +F 3 "~" H 2400 7150 50 0001 C CNN + 1 2400 7150 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H2 +U 1 1 5CFABDD2 +P 2750 7150 +F 0 "H2" H 2850 7199 50 0000 L CNN +F 1 "MH2" H 2850 7108 50 0000 L CNN +F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 2750 7150 50 0001 C CNN +F 3 "~" H 2750 7150 50 0001 C CNN + 1 2750 7150 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H3 +U 1 1 5CFAC2C0 +P 3100 7150 +F 0 "H3" H 3200 7199 50 0000 L CNN +F 1 "MH3" H 3200 7108 50 0000 L CNN +F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 3100 7150 50 0001 C CNN +F 3 "~" H 3100 7150 50 0001 C CNN + 1 3100 7150 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H4 +U 1 1 5CFAC2CA +P 3450 7150 +F 0 "H4" H 3550 7199 50 0000 L CNN +F 1 "MH4" H 3550 7108 50 0000 L CNN +F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 3450 7150 50 0001 C CNN +F 3 "~" H 3450 7150 50 0001 C CNN + 1 3450 7150 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR022 +U 1 1 5CFB0132 +P 2400 7350 +F 0 "#PWR022" H 2400 7100 50 0001 C CNN +F 1 "GND" H 2400 7200 50 0000 C CNN +F 2 "" H 2400 7350 50 0001 C CNN +F 3 "" H 2400 7350 50 0001 C CNN + 1 2400 7350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2400 7350 2400 7250 +Wire Wire Line + 2400 7250 2750 7250 +Connection ~ 2400 7250 +Connection ~ 2750 7250 +Wire Wire Line + 2750 7250 3100 7250 +Connection ~ 3100 7250 +Wire Wire Line + 3100 7250 3450 7250 +$Comp +L Mechanical:MountingHole GFX1 +U 1 1 5CFBB66E +P 4750 7150 +F 0 "GFX1" H 4850 7196 50 0000 L CNN +F 1 "MNT" H 4850 7105 50 0000 L CNN +F 2 "footprints:pocket-reform" H 4750 7150 50 0001 C CNN +F 3 "~" H 4750 7150 50 0001 C CNN + 1 4750 7150 + 1 0 0 -1 +$EndComp +Text Label 3050 4100 0 60 ~ 0 +UD+ +Text Label 3050 4200 0 60 ~ 0 +UD- +$Comp +L Connector_Generic:Conn_01x04 J3 +U 1 1 5D0C1E6C +P 9350 5650 +F 0 "J3" H 9350 5950 50 0000 C CNN +F 1 "AUX" H 9350 5300 50 0000 C CNN +F 2 "Connector_FFC-FPC:Molex_200528-0040_1x04-1MP_P1.00mm_Horizontal" H 9350 5650 50 0001 C CNN +F 3 "" H 9350 5650 50 0001 C CNN +F 4 "Molex" H 9350 5650 50 0001 C CNN "Manufacturer" +F 5 "200528-0040" H 9350 5650 50 0001 C CNN "Manufacturer_No" + 1 9350 5650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR019 +U 1 1 5D0C1E81 +P 8600 5950 +F 0 "#PWR019" H 8600 5700 50 0001 C CNN +F 1 "GND" H 8600 5800 50 0000 C CNN +F 2 "" H 8600 5950 50 0001 C CNN +F 3 "" H 8600 5950 50 0001 C CNN + 1 8600 5950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8600 5850 9150 5850 +Wire Wire Line + 3750 2100 3750 2200 +Wire Wire Line + 3750 2200 3450 2200 +Wire Wire Line + 3750 2200 3750 2800 +Wire Wire Line + 3450 2250 3450 2200 +$Comp +L power:+3V3 #PWR05 +U 1 1 5DC3E3E7 +P 3850 1850 +F 0 "#PWR05" H 3850 1700 50 0001 C CNN +F 1 "+3V3" H 3865 2023 50 0000 C CNN +F 2 "" H 3850 1850 50 0001 C CNN +F 3 "" H 3850 1850 50 0001 C CNN + 1 3850 1850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3850 1850 3850 2200 +Wire Wire Line + 3950 2450 3850 2450 +Wire Wire Line + 3950 2450 3950 2800 +Connection ~ 3850 2450 +Wire Wire Line + 3850 2450 3850 2800 +$Comp +L Device:C_Small C5 +U 1 1 5DC43CAF +P 4700 2350 +F 0 "C5" H 4710 2420 50 0000 L CNN +F 1 "0.1uF" H 4750 2250 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 4700 2350 50 0001 C CNN +F 3 "" H 4700 2350 50 0001 C CNN +F 4 "Yageo" H 4700 2350 50 0001 C CNN "Manufacturer" +F 5 "CC0603JPX7R9BB104" H 4700 2350 50 0001 C CNN "Manufacturer_No" + 1 4700 2350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4700 2500 4700 2450 +Wire Wire Line + 4700 2450 4450 2450 +Connection ~ 4700 2450 +Wire Wire Line + 4450 2200 4700 2200 +Wire Wire Line + 4700 2200 4700 2250 +Connection ~ 4450 2200 +Wire Wire Line + 3850 2200 4450 2200 +Connection ~ 3850 2200 +Wire Wire Line + 3850 2200 3850 2450 +$Comp +L power:+3V3 #PWR08 +U 1 1 5DC4C10B +P 3050 2450 +F 0 "#PWR08" H 3050 2300 50 0001 C CNN +F 1 "+3V3" H 3065 2623 50 0000 C CNN +F 2 "" H 3050 2450 50 0001 C CNN +F 3 "" H 3050 2450 50 0001 C CNN + 1 3050 2450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2750 3900 3250 3900 +$Comp +L power:+3V3 #PWR016 +U 1 1 5DC5E67D +P 7350 5500 +F 0 "#PWR016" H 7350 5350 50 0001 C CNN +F 1 "+3V3" H 7350 5650 50 0000 C CNN +F 2 "" H 7350 5500 50 0001 C CNN +F 3 "" H 7350 5500 50 0001 C CNN + 1 7350 5500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR018 +U 1 1 5DC7484C +P 7350 5950 +F 0 "#PWR018" H 7350 5700 50 0001 C CNN +F 1 "GND" H 7350 5800 50 0000 C CNN +F 2 "" H 7350 5950 50 0001 C CNN +F 3 "" H 7350 5950 50 0001 C CNN + 1 7350 5950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7350 5950 7350 5850 +Wire Wire Line + 7350 5850 7850 5850 +Wire Wire Line + 7350 5500 7350 5550 +Text GLabel 9150 5650 0 60 Input ~ 0 +DISP_SCL +Text GLabel 9150 5750 0 60 Input ~ 0 +DISP_SDA +$Comp +L power:+3V3 #PWR017 +U 1 1 5DC9A968 +P 8600 5500 +F 0 "#PWR017" H 8600 5350 50 0001 C CNN +F 1 "+3V3" H 8500 5650 50 0000 L CNN +F 2 "" H 8600 5500 50 0001 C CNN +F 3 "" H 8600 5500 50 0001 C CNN + 1 8600 5500 + 1 0 0 -1 +$EndComp +Text GLabel 4450 4300 2 60 Output ~ 0 +DISP_SCL +Text GLabel 4450 4400 2 60 Output ~ 0 +DISP_SDA +Text GLabel 7850 5750 0 60 Input ~ 0 +UART_TX +Text GLabel 10100 5900 0 60 Input ~ 0 +DISP_SCL +Text GLabel 10100 5800 0 60 Input ~ 0 +DISP_SDA +$Comp +L Device:R_Small R7 +U 1 1 5DCCE912 +P 10200 5700 +F 0 "R7" H 10259 5746 50 0000 L CNN +F 1 "4.7k" H 10259 5655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 10200 5700 50 0001 C CNN +F 3 "~" H 10200 5700 50 0001 C CNN +F 4 "Yageo" H 10200 5700 50 0001 C CNN "Manufacturer" +F 5 "RC0603FR-074K7L" H 10200 5700 50 0001 C CNN "Manufacturer_No" + 1 10200 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R_Small R8 +U 1 1 5DCD0C98 +P 10500 5700 +F 0 "R8" H 10559 5746 50 0000 L CNN +F 1 "4.7k" H 10559 5655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 10500 5700 50 0001 C CNN +F 3 "~" H 10500 5700 50 0001 C CNN +F 4 "Yageo" H 10500 5700 50 0001 C CNN "Manufacturer" +F 5 "RC0603FR-074K7L" H 10500 5700 50 0001 C CNN "Manufacturer_No" + 1 10500 5700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10100 5800 10200 5800 +Wire Wire Line + 10500 5800 10500 5900 +Wire Wire Line + 10500 5900 10100 5900 +$Comp +L power:+3V3 #PWR015 +U 1 1 5DCD89CE +P 10200 5400 +F 0 "#PWR015" H 10200 5250 50 0001 C CNN +F 1 "+3V3" H 10215 5573 50 0000 C CNN +F 2 "" H 10200 5400 50 0001 C CNN +F 3 "" H 10200 5400 50 0001 C CNN + 1 10200 5400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10200 5400 10200 5500 +Wire Wire Line + 10200 5500 10500 5500 +Wire Wire Line + 10500 5500 10500 5600 +Connection ~ 10200 5500 +Wire Wire Line + 10200 5500 10200 5600 +Text Notes 8650 5200 0 60 ~ 0 +To SSD1306 +Wire Wire Line + 2500 3700 2500 2500 +Wire Wire Line + 2500 2500 3050 2500 +Wire Wire Line + 2500 3700 3050 3700 +Connection ~ 3050 2500 +Wire Wire Line + 3050 2500 3050 2650 +$Comp +L Regulator_Linear:MCP1700-3302E_SOT23 U1 +U 1 1 5F214B98 +P 6450 1300 +F 0 "U1" H 6450 1542 50 0000 C CNN +F 1 "MCP1700-3302E_SOT23" H 6450 1451 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23" H 6450 1525 50 0001 C CNN +F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/20001826D.pdf" H 6450 1300 50 0001 C CNN +F 4 "Microchip" H 6450 1300 50 0001 C CNN "Manufacturer" +F 5 "MCP1700T-3302E/TT" H 6450 1300 50 0001 C CNN "Manufacturer_No" +F 6 "" H 6450 1300 50 0001 C CNN "Flags" + 1 6450 1300 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR01 +U 1 1 5F215B65 +P 5900 1250 +F 0 "#PWR01" H 5900 1100 50 0001 C CNN +F 1 "+5V" H 5900 1390 50 0000 C CNN +F 2 "" H 5900 1250 50 0001 C CNN +F 3 "" H 5900 1250 50 0001 C CNN + 1 5900 1250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5900 1250 5900 1300 +Wire Wire Line + 5900 1300 6150 1300 +$Comp +L power:GND #PWR04 +U 1 1 5F2187C9 +P 6450 1700 +F 0 "#PWR04" H 6450 1450 50 0001 C CNN +F 1 "GND" H 6455 1527 50 0000 C CNN +F 2 "" H 6450 1700 50 0001 C CNN +F 3 "" H 6450 1700 50 0001 C CNN + 1 6450 1700 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR02 +U 1 1 5F21B5B0 +P 7000 1250 +F 0 "#PWR02" H 7000 1100 50 0001 C CNN +F 1 "+3V3" H 7015 1423 50 0000 C CNN +F 2 "" H 7000 1250 50 0001 C CNN +F 3 "" H 7000 1250 50 0001 C CNN + 1 7000 1250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7000 1250 7000 1300 +Wire Wire Line + 7000 1300 6750 1300 +$Comp +L Device:C_Small C1 +U 1 1 5F2226E4 +P 5900 1450 +F 0 "C1" H 5910 1520 50 0000 L CNN +F 1 "1uF" H 5910 1370 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 5900 1450 50 0001 C CNN +F 3 "" H 5900 1450 50 0001 C CNN +F 4 "TDK" H 5900 1450 50 0001 C CNN "Manufacturer" +F 5 "C1608X8L1C105K080AC" H 5900 1450 50 0001 C CNN "Manufacturer_No" +F 6 "" H 5900 1450 50 0001 C CNN "Flags" + 1 5900 1450 + -1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C2 +U 1 1 5F223065 +P 7000 1450 +F 0 "C2" H 7010 1520 50 0000 L CNN +F 1 "1uF" H 7010 1370 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 7000 1450 50 0001 C CNN +F 3 "" H 7000 1450 50 0001 C CNN +F 4 "TDK" H 7000 1450 50 0001 C CNN "Manufacturer" +F 5 "C1608X8L1C105K080AC" H 7000 1450 50 0001 C CNN "Manufacturer_No" +F 6 "" H 7000 1450 50 0001 C CNN "Flags" + 1 7000 1450 + -1 0 0 -1 +$EndComp +Wire Wire Line + 7000 1350 7000 1300 +Connection ~ 7000 1300 +Wire Wire Line + 5900 1300 5900 1350 +Connection ~ 5900 1300 +Wire Wire Line + 6450 1600 6450 1650 +Wire Wire Line + 6450 1650 5900 1650 +Wire Wire Line + 5900 1650 5900 1550 +Connection ~ 6450 1650 +Wire Wire Line + 6450 1650 6450 1700 +Wire Wire Line + 6450 1650 7000 1650 +Wire Wire Line + 7000 1650 7000 1550 +Wire Wire Line + 6150 5850 6600 5850 +Text GLabel 6600 5750 0 60 Input ~ 0 +D+ +Text GLabel 6600 5650 0 60 Input ~ 0 +D- +$Comp +L Connector_Generic:Conn_01x04 J1 +U 1 1 5A213B3D +P 6800 5650 +F 0 "J1" H 6800 5900 50 0000 C CNN +F 1 "USB" H 6800 5300 50 0000 C CNN +F 2 "Connector_JST:JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal" H 6800 5650 50 0001 C CNN +F 3 "" H 6800 5650 50 0001 C CNN +F 4 "JST" H 6800 5650 50 0001 C CNN "Manufacturer" +F 5 "S4B-PH-SM4-TB(LF)(SN)" H 6800 5650 50 0001 C CNN "Manufacturer_No" + 1 6800 5650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6150 5550 6400 5550 +Text Notes 7450 5200 0 60 ~ 0 +To SYSCTL +Wire Wire Line + 8600 5550 8600 5500 +Wire Wire Line + 8600 5550 9150 5550 +Wire Wire Line + 8600 5950 8600 5850 +$Comp +L power:+5V #PWR014 +U 1 1 609C87DA +P 6150 5400 +F 0 "#PWR014" H 6150 5250 50 0001 C CNN +F 1 "+5V" H 6150 5540 50 0000 C CNN +F 2 "" H 6150 5400 50 0001 C CNN +F 3 "" H 6150 5400 50 0001 C CNN + 1 6150 5400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6150 5550 6150 5400 +$Comp +L power:GND #PWR020 +U 1 1 609CDAE0 +P 6150 6050 +F 0 "#PWR020" H 6150 5800 50 0001 C CNN +F 1 "GND" H 6155 5877 50 0000 C CNN +F 2 "" H 6150 6050 50 0001 C CNN +F 3 "" H 6150 6050 50 0001 C CNN + 1 6150 6050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6150 5850 6150 6050 +Wire Wire Line + 7350 5550 7550 5550 +$Comp +L Device:R_Small R6 +U 1 1 609DB3B5 +P 7650 5550 +F 0 "R6" V 7454 5550 50 0000 C CNN +F 1 "0" V 7545 5550 50 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 7650 5550 50 0001 C CNN +F 3 "~" H 7650 5550 50 0001 C CNN + 1 7650 5550 + 0 1 1 0 +$EndComp +Wire Wire Line + 7750 5550 7850 5550 +$Comp +L power:PWR_FLAG #FLG01 +U 1 1 609DC6CA +P 6400 5550 +F 0 "#FLG01" H 6400 5625 50 0001 C CNN +F 1 "PWR_FLAG" H 6400 5723 50 0000 C CNN +F 2 "" H 6400 5550 50 0001 C CNN +F 3 "~" H 6400 5550 50 0001 C CNN + 1 6400 5550 + 1 0 0 -1 +$EndComp +Connection ~ 6400 5550 +Wire Wire Line + 6400 5550 6600 5550 +$Comp +L Device:R_Small R1 +U 1 1 609DCF8E +P 1800 2200 +F 0 "R1" V 1604 2200 50 0000 C CNN +F 1 "0" V 1695 2200 50 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric" H 1800 2200 50 0001 C CNN +F 3 "~" H 1800 2200 50 0001 C CNN + 1 1800 2200 + 0 1 1 0 +$EndComp +Wire Wire Line + 1900 2200 2100 2200 +Wire Wire Line + 1550 2200 1700 2200 +NoConn ~ 4450 3100 +$Comp +L reform2-keyboard-rescue:ATmega32U4-AU-MCU_Microchip_ATmega U1 +U 1 1 5A21306C +P 3850 4600 +AR Path="/5A21306C" Ref="U1" Part="1" +AR Path="/5A2069AE/5A21306C" Ref="U1" Part="1" +AR Path="/609BAECC/5A21306C" Ref="U2" Part="1" +AR Path="/6195BBBB/5A21306C" Ref="U2" Part="1" +F 0 "U2" H 4100 2850 50 0000 C CNN +F 1 "ATMEGA32U4-AU" H 4350 2750 50 0000 C CNN +F 2 "Package_QFP:TQFP-44_10x10mm_P0.8mm" H 3850 4600 50 0001 C CIN +F 3 "" H 4950 5700 50 0001 C CNN +F 4 "Microchip" H 3850 4600 50 0001 C CNN "Manufacturer" +F 5 "ATMEGA32U4-AU" H 3850 4600 50 0001 C CNN "Manufacturer_No" + 1 3850 4600 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole GFX2 +U 1 1 60BAEE79 +P 5150 7150 +F 0 "GFX2" H 5250 7196 50 0000 L CNN +F 1 "MNT" H 5250 7105 50 0000 L CNN +F 2 "footprints:mpre-badge-d1" H 5150 7150 50 0001 C CNN +F 3 "~" H 5150 7150 50 0001 C CNN + 1 5150 7150 + 1 0 0 -1 +$EndComp +Text GLabel 4450 3800 2 60 Input ~ 0 +COL12 +Text Notes 5450 4050 0 60 ~ 0 +TODO: check wakeup IRQs +Text Notes 5450 3850 0 60 ~ 0 +TODO: backlight PWM?! +$EndSCHEMATC diff --git a/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho-cache.lib b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho-cache.lib new file mode 100644 index 0000000..7c84eef --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho-cache.lib @@ -0,0 +1,308 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# Connector_Generic_Conn_01x04 +# +DEF Connector_Generic_Conn_01x04 J 0 40 Y N 1 F N +F0 "J" 0 200 50 H V C CNN +F1 "Connector_Generic_Conn_01x04" 0 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 150 50 -250 1 1 10 f +X Pin_1 1 -200 100 150 R 50 50 1 1 P +X Pin_2 2 -200 0 150 R 50 50 1 1 P +X Pin_3 3 -200 -100 150 R 50 50 1 1 P +X Pin_4 4 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C_Small +# +DEF Device_C_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "Device_C_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 13 -60 -20 60 -20 N +P 2 0 1 12 -60 20 60 20 N +X ~ 1 0 100 80 D 50 50 1 1 P +X ~ 2 0 -100 80 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_Crystal_GND24 +# +DEF Device_Crystal_GND24 Y 0 40 Y N 1 F N +F0 "Y" 125 200 50 H V L CNN +F1 "Device_Crystal_GND24" 125 125 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Crystal* +$ENDFPLIST +DRAW +S -45 100 45 -100 0 1 12 N +P 2 0 1 0 -100 0 -80 0 N +P 2 0 1 20 -80 -50 -80 50 N +P 2 0 1 0 0 -150 0 -140 N +P 2 0 1 0 0 140 0 150 N +P 2 0 1 20 80 -50 80 50 N +P 2 0 1 0 80 0 100 0 N +P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N +P 4 0 1 0 -100 90 -100 140 100 140 100 90 N +X 1 1 -150 0 50 R 50 50 1 1 P +X 2 2 0 200 50 D 50 50 1 1 P +X 3 3 150 0 50 L 50 50 1 1 P +X 4 4 0 -200 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_D_Small +# +DEF Device_D_Small D 0 10 N N 1 F N +F0 "D" -50 80 50 H V L CNN +F1 "Device_D_Small" -150 -80 50 H V L CNN +F2 "" 0 0 50 V I C CNN +F3 "" 0 0 50 V I C CNN +$FPLIST + TO-???* + *_Diode_* + *SingleDiode* + D_* +$ENDFPLIST +DRAW +P 2 0 1 10 -30 -40 -30 40 N +P 2 0 1 0 -30 0 30 0 N +P 4 0 1 10 30 -40 -30 0 30 40 30 -40 N +X K 1 -100 0 70 R 50 50 1 1 P +X A 2 100 0 70 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R_Small +# +DEF Device_R_Small R 0 10 N N 1 F N +F0 "R" 30 20 50 H V L CNN +F1 "Device_R_Small" 30 -40 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -30 70 30 -70 0 1 8 N +X ~ 1 0 100 30 D 50 50 1 1 P +X ~ 2 0 -100 30 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole +# +DEF Mechanical_MountingHole H 0 40 Y Y 1 F N +F0 "H" 0 200 50 H V C CNN +F1 "Mechanical_MountingHole" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole* +$ENDFPLIST +DRAW +C 0 0 50 0 1 50 N +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole_Pad +# +DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N +F0 "H" 0 250 50 H V C CNN +F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole*Pad* +$ENDFPLIST +DRAW +C 0 50 50 0 1 50 N +X 1 1 0 -100 100 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Regulator_Linear_MCP1700-3302E_SOT23 +# +DEF Regulator_Linear_MCP1700-3302E_SOT23 U 0 10 Y Y 1 F N +F0 "U" -150 125 50 H V C CNN +F1 "Regulator_Linear_MCP1700-3302E_SOT23" 0 125 50 H V L CNN +F2 "Package_TO_SOT_SMD:SOT-23" 0 225 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS MCP1700-1202E_SOT23 MCP1700-1802E_SOT23 MCP1700-2502E_SOT23 MCP1700-2802E_SOT23 MCP1700-3302E_SOT23 MCP1700-5002E_SOT23 +$FPLIST + SOT?23* +$ENDFPLIST +DRAW +S -200 75 200 -200 0 1 10 f +X GND 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# Switch_SW_SPST +# +DEF Switch_SW_SPST SW 0 0 Y N 1 F N +F0 "SW" 0 125 50 H V C CNN +F1 "Switch_SW_SPST" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C -80 0 20 0 0 0 N +C 80 0 20 0 0 0 N +P 2 0 0 0 -60 10 60 70 N +X A 1 -200 0 100 R 50 50 1 1 P +X B 2 200 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# mntcomp-keyboard_Choc +# +DEF mntcomp-keyboard_Choc SW 0 40 N N 1 F N +F0 "SW" 150 -50 50 H V C CNN +F1 "mntcomp-keyboard_Choc" -150 -50 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -170 50 170 60 0 1 0 N +P 4 0 1 0 -40 60 -30 90 30 90 40 60 N +X 1 1 0 350 200 D 50 50 1 1 P I +X 2 2 0 -200 200 U 50 50 1 1 P I +ENDDRAW +ENDDEF +# +# power_+3V3 +# +DEF power_+3V3 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3V3" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_PWR_FLAG +# +DEF power_PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 75 50 H I C CNN +F1 "power_PWR_FLAG" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N +X pwr 1 0 0 0 U 50 50 0 0 w +ENDDRAW +ENDDEF +# +# reform2-keyboard-rescue_ATmega32U4-AU-MCU_Microchip_ATmega +# +DEF reform2-keyboard-rescue_ATmega32U4-AU-MCU_Microchip_ATmega U 0 20 Y Y 1 F N +F0 "U" -500 1750 50 H V L BNN +F1 "reform2-keyboard-rescue_ATmega32U4-AU-MCU_Microchip_ATmega" 100 -1750 50 H V L TNN +F2 "Package_QFP:TQFP-44_10x10mm_P0.8mm" 0 0 50 H I C CIN +F3 "" 0 0 50 H I C CNN +$FPLIST + TQFP*10x10mm*P0.8mm* +$ENDFPLIST +DRAW +S -500 -1700 500 1700 0 1 10 f +X PE6 1 600 -700 100 L 50 50 1 1 T +X PB2 10 600 1300 100 L 50 50 1 1 T +X PB3 11 600 1200 100 L 50 50 1 1 T +X PB7 12 600 800 100 L 50 50 1 1 T +X ~RESET 13 -600 1500 100 R 50 50 1 1 I +X VCC 14 0 1800 100 D 50 50 1 1 W +X GND 15 0 -1800 100 U 50 50 1 1 W +X XTAL2 16 -600 1100 100 R 50 50 1 1 O +X XTAL1 17 -600 1300 100 R 50 50 1 1 I +X PD0 18 600 300 100 L 50 50 1 1 T +X PD1 19 600 200 100 L 50 50 1 1 T +X UVCC 2 -100 1800 100 D 50 50 1 1 W +X PD2 20 600 100 100 L 50 50 1 1 T +X PD3 21 600 0 100 L 50 50 1 1 T +X PD5 22 600 -200 100 L 50 50 1 1 T +X GND 23 0 -1800 100 U 50 50 1 1 P N +X AVCC 24 100 1800 100 D 50 50 1 1 W +X PD4 25 600 -100 100 L 50 50 1 1 T +X PD6 26 600 -300 100 L 50 50 1 1 T +X PD7 27 600 -400 100 L 50 50 1 1 T +X PB4 28 600 1100 100 L 50 50 1 1 T +X PB5 29 600 1000 100 L 50 50 1 1 T +X D- 3 -600 400 100 R 50 50 1 1 B +X PB6 30 600 900 100 L 50 50 1 1 T +X PC6 31 600 600 100 L 50 50 1 1 T +X PC7 32 600 500 100 L 50 50 1 1 T +X ~HWB~/PE2 33 600 -600 100 L 50 50 1 1 T +X VCC 34 0 1800 100 D 50 50 1 1 P N +X GND 35 0 -1800 100 U 50 50 1 1 P N +X PF7 36 600 -1400 100 L 50 50 1 1 T +X PF6 37 600 -1300 100 L 50 50 1 1 T +X PF5 38 600 -1200 100 L 50 50 1 1 T +X PF4 39 600 -1100 100 L 50 50 1 1 T +X D+ 4 -600 500 100 R 50 50 1 1 B +X PF1 40 600 -1000 100 L 50 50 1 1 T +X PF0 41 600 -900 100 L 50 50 1 1 T +X AREF 42 -600 900 100 R 50 50 1 1 P +X GND 43 0 -1800 100 U 50 50 1 1 P N +X AVCC 44 100 1800 100 D 50 50 1 1 P N +X UGND 5 -100 -1800 100 U 50 50 1 1 P +X UCAP 6 -600 200 100 R 50 50 1 1 P +X VBUS 7 -600 700 100 R 50 50 1 1 I +X PB0 8 600 1500 100 L 50 50 1 1 T +X PB1 9 600 1400 100 L 50 50 1 1 T +ENDDRAW +ENDDEF +# +#End Library diff --git a/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.kicad_pcb b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.kicad_pcb new file mode 100644 index 0000000..dd96d2c --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.kicad_pcb @@ -0,0 +1,7888 @@ +(kicad_pcb (version 20171130) (host pcbnew 5.99.0+really5.1.10+dfsg1-1) + + (general + (thickness 1.6) + (drawings 29) + (tracks 0) + (zones 0) + (modules 149) + (nets 100) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user hide) + (49 F.Fab user hide) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.05) + (segment_width 0.2) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.12) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (excludeedgelayer false) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 4) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "/tmp/prefkbdtest2/")) + ) + + (net 0 "") + (net 1 GND) + (net 2 +5V) + (net 3 +3V3) + (net 4 "Net-(C6-Pad1)") + (net 5 "Net-(C7-Pad1)") + (net 6 "Net-(C8-Pad1)") + (net 7 "Net-(D1-Pad2)") + (net 8 ROW1) + (net 9 "Net-(D2-Pad2)") + (net 10 "Net-(D3-Pad2)") + (net 11 "Net-(D4-Pad2)") + (net 12 "Net-(D5-Pad2)") + (net 13 "Net-(D6-Pad2)") + (net 14 "Net-(D7-Pad2)") + (net 15 "Net-(D8-Pad2)") + (net 16 "Net-(D9-Pad2)") + (net 17 "Net-(D10-Pad2)") + (net 18 "Net-(D11-Pad2)") + (net 19 "Net-(D12-Pad2)") + (net 20 "Net-(D13-Pad2)") + (net 21 ROW2) + (net 22 "Net-(D14-Pad2)") + (net 23 "Net-(D15-Pad2)") + (net 24 "Net-(D16-Pad2)") + (net 25 "Net-(D17-Pad2)") + (net 26 "Net-(D18-Pad2)") + (net 27 "Net-(D19-Pad2)") + (net 28 "Net-(D20-Pad2)") + (net 29 "Net-(D21-Pad2)") + (net 30 "Net-(D22-Pad2)") + (net 31 "Net-(D23-Pad2)") + (net 32 "Net-(D24-Pad2)") + (net 33 "Net-(D25-Pad2)") + (net 34 ROW3) + (net 35 "Net-(D26-Pad2)") + (net 36 "Net-(D27-Pad2)") + (net 37 "Net-(D28-Pad2)") + (net 38 "Net-(D29-Pad2)") + (net 39 "Net-(D30-Pad2)") + (net 40 "Net-(D31-Pad2)") + (net 41 "Net-(D32-Pad2)") + (net 42 "Net-(D33-Pad2)") + (net 43 "Net-(D34-Pad2)") + (net 44 "Net-(D35-Pad2)") + (net 45 "Net-(D36-Pad2)") + (net 46 "Net-(D37-Pad2)") + (net 47 ROW4) + (net 48 "Net-(D38-Pad2)") + (net 49 "Net-(D39-Pad2)") + (net 50 "Net-(D40-Pad2)") + (net 51 "Net-(D41-Pad2)") + (net 52 "Net-(D42-Pad2)") + (net 53 "Net-(D43-Pad2)") + (net 54 "Net-(D44-Pad2)") + (net 55 "Net-(D45-Pad2)") + (net 56 "Net-(D46-Pad2)") + (net 57 "Net-(D47-Pad2)") + (net 58 "Net-(D48-Pad2)") + (net 59 "Net-(D49-Pad2)") + (net 60 ROW5) + (net 61 "Net-(D50-Pad2)") + (net 62 "Net-(D51-Pad2)") + (net 63 "Net-(D52-Pad2)") + (net 64 "Net-(D53-Pad2)") + (net 65 "Net-(D54-Pad2)") + (net 66 "Net-(D55-Pad2)") + (net 67 "Net-(D56-Pad2)") + (net 68 "Net-(D57-Pad2)") + (net 69 "Net-(D58-Pad2)") + (net 70 "Net-(D59-Pad2)") + (net 71 "Net-(D60-Pad2)") + (net 72 D+) + (net 73 D-) + (net 74 UART_TX) + (net 75 UART_RX) + (net 76 "Net-(J2-Pad1)") + (net 77 DISP_SDA) + (net 78 DISP_SCL) + (net 79 PROG) + (net 80 RESET) + (net 81 "Net-(R3-Pad1)") + (net 82 "/Pocket Reform KBD MCU/UD+") + (net 83 "/Pocket Reform KBD MCU/UD-") + (net 84 COL1) + (net 85 COL2) + (net 86 COL3) + (net 87 COL4) + (net 88 COL5) + (net 89 COL6) + (net 90 COL7) + (net 91 COL8) + (net 92 COL9) + (net 93 COL10) + (net 94 COL11) + (net 95 COL12) + (net 96 "Net-(U2-Pad8)") + (net 97 "Net-(U2-Pad9)") + (net 98 "Net-(U2-Pad10)") + (net 99 "Net-(U2-Pad11)") + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +3V3) + (add_net +5V) + (add_net "/Pocket Reform KBD MCU/UD+") + (add_net "/Pocket Reform KBD MCU/UD-") + (add_net COL1) + (add_net COL10) + (add_net COL11) + (add_net COL12) + (add_net COL2) + (add_net COL3) + (add_net COL4) + (add_net COL5) + (add_net COL6) + (add_net COL7) + (add_net COL8) + (add_net COL9) + (add_net D+) + (add_net D-) + (add_net DISP_SCL) + (add_net DISP_SDA) + (add_net GND) + (add_net "Net-(C6-Pad1)") + (add_net "Net-(C7-Pad1)") + (add_net "Net-(C8-Pad1)") + (add_net "Net-(D1-Pad2)") + (add_net "Net-(D10-Pad2)") + (add_net "Net-(D11-Pad2)") + (add_net "Net-(D12-Pad2)") + (add_net "Net-(D13-Pad2)") + (add_net "Net-(D14-Pad2)") + (add_net "Net-(D15-Pad2)") + (add_net "Net-(D16-Pad2)") + (add_net "Net-(D17-Pad2)") + (add_net "Net-(D18-Pad2)") + (add_net "Net-(D19-Pad2)") + (add_net "Net-(D2-Pad2)") + (add_net "Net-(D20-Pad2)") + (add_net "Net-(D21-Pad2)") + (add_net "Net-(D22-Pad2)") + (add_net "Net-(D23-Pad2)") + (add_net "Net-(D24-Pad2)") + (add_net "Net-(D25-Pad2)") + (add_net "Net-(D26-Pad2)") + (add_net "Net-(D27-Pad2)") + (add_net "Net-(D28-Pad2)") + (add_net "Net-(D29-Pad2)") + (add_net "Net-(D3-Pad2)") + (add_net "Net-(D30-Pad2)") + (add_net "Net-(D31-Pad2)") + (add_net "Net-(D32-Pad2)") + (add_net "Net-(D33-Pad2)") + (add_net "Net-(D34-Pad2)") + (add_net "Net-(D35-Pad2)") + (add_net "Net-(D36-Pad2)") + (add_net "Net-(D37-Pad2)") + (add_net "Net-(D38-Pad2)") + (add_net "Net-(D39-Pad2)") + (add_net "Net-(D4-Pad2)") + (add_net "Net-(D40-Pad2)") + (add_net "Net-(D41-Pad2)") + (add_net "Net-(D42-Pad2)") + (add_net "Net-(D43-Pad2)") + (add_net "Net-(D44-Pad2)") + (add_net "Net-(D45-Pad2)") + (add_net "Net-(D46-Pad2)") + (add_net "Net-(D47-Pad2)") + (add_net "Net-(D48-Pad2)") + (add_net "Net-(D49-Pad2)") + (add_net "Net-(D5-Pad2)") + (add_net "Net-(D50-Pad2)") + (add_net "Net-(D51-Pad2)") + (add_net "Net-(D52-Pad2)") + (add_net "Net-(D53-Pad2)") + (add_net "Net-(D54-Pad2)") + (add_net "Net-(D55-Pad2)") + (add_net "Net-(D56-Pad2)") + (add_net "Net-(D57-Pad2)") + (add_net "Net-(D58-Pad2)") + (add_net "Net-(D59-Pad2)") + (add_net "Net-(D6-Pad2)") + (add_net "Net-(D60-Pad2)") + (add_net "Net-(D7-Pad2)") + (add_net "Net-(D8-Pad2)") + (add_net "Net-(D9-Pad2)") + (add_net "Net-(J2-Pad1)") + (add_net "Net-(R3-Pad1)") + (add_net "Net-(U2-Pad10)") + (add_net "Net-(U2-Pad11)") + (add_net "Net-(U2-Pad8)") + (add_net "Net-(U2-Pad9)") + (add_net PROG) + (add_net RESET) + (add_net ROW1) + (add_net ROW2) + (add_net ROW3) + (add_net ROW4) + (add_net ROW5) + (add_net UART_RX) + (add_net UART_TX) + ) + + (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 618FD613) + (at 242.5 128.5) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (path /6195BBBB/5CFAC2CA) + (attr virtual) + (fp_text reference H4 (at 0 -3.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MH4 (at 0 3.2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0.3 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 GND)) + ) + + (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 618FD60B) + (at 53.1 128.6) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (path /6195BBBB/5CFAC2C0) + (attr virtual) + (fp_text reference H3 (at 0 -3.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MH3 (at 0 3.2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0.3 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 GND)) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D695) + (at 231.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /6192CF86) + (attr smd) + (fp_text reference D60 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 71 "Net-(D60-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D671) + (at 215.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCBA2) + (attr smd) + (fp_text reference D59 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 70 "Net-(D59-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D64D) + (at 200.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB8F) + (attr smd) + (fp_text reference D58 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(D58-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D629) + (at 184.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB89) + (attr smd) + (fp_text reference D57 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 68 "Net-(D57-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D605) + (at 169.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB76) + (attr smd) + (fp_text reference D56 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 67 "Net-(D56-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D5E1) + (at 153.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB70) + (attr smd) + (fp_text reference D55 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 66 "Net-(D55-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D5BD) + (at 138.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB5D) + (attr smd) + (fp_text reference D54 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(D54-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D599) + (at 122.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB57) + (attr smd) + (fp_text reference D53 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(D53-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D575) + (at 107.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB44) + (attr smd) + (fp_text reference D52 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(D52-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D551) + (at 91.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB3E) + (attr smd) + (fp_text reference D51 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 62 "Net-(D51-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D52D) + (at 76.25 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB2B) + (attr smd) + (fp_text reference D50 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 61 "Net-(D50-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D509) + (at 60.75 125.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCB25) + (attr smd) + (fp_text reference D49 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 ROW5)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 59 "Net-(D49-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D4E5) + (at 231.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /6192CF6E) + (attr smd) + (fp_text reference D48 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 58 "Net-(D48-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D4C1) + (at 215.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCAD9) + (attr smd) + (fp_text reference D47 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 57 "Net-(D47-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D49D) + (at 200.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCAD3) + (attr smd) + (fp_text reference D46 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 56 "Net-(D46-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D479) + (at 184.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCAC0) + (attr smd) + (fp_text reference D45 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 55 "Net-(D45-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D455) + (at 169.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCABA) + (attr smd) + (fp_text reference D44 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 54 "Net-(D44-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D431) + (at 153.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCAA7) + (attr smd) + (fp_text reference D43 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(D43-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D40D) + (at 138.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCAA1) + (attr smd) + (fp_text reference D42 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 52 "Net-(D42-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D3E9) + (at 122.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCA8E) + (attr smd) + (fp_text reference D41 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 51 "Net-(D41-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D3C5) + (at 107.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCA88) + (attr smd) + (fp_text reference D40 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 50 "Net-(D40-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D3A1) + (at 91.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCA75) + (attr smd) + (fp_text reference D39 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 49 "Net-(D39-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D37D) + (at 76.25 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DCA6F) + (attr smd) + (fp_text reference D38 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 48 "Net-(D38-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D359) + (at 60.75 110 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBBAD) + (attr smd) + (fp_text reference D37 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 47 ROW4)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 46 "Net-(D37-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D335) + (at 231.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /6192CF56) + (attr smd) + (fp_text reference D36 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 45 "Net-(D36-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D311) + (at 215.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB7B) + (attr smd) + (fp_text reference D35 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 44 "Net-(D35-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D2ED) + (at 200.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB75) + (attr smd) + (fp_text reference D34 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 43 "Net-(D34-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D2C9) + (at 184.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB62) + (attr smd) + (fp_text reference D33 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 42 "Net-(D33-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D2A5) + (at 169.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB5C) + (attr smd) + (fp_text reference D32 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 41 "Net-(D32-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D281) + (at 153.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB49) + (attr smd) + (fp_text reference D31 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(D31-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D25D) + (at 138.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB43) + (attr smd) + (fp_text reference D30 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 39 "Net-(D30-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D239) + (at 122.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB30) + (attr smd) + (fp_text reference D29 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 38 "Net-(D29-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D215) + (at 107.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB2A) + (attr smd) + (fp_text reference D28 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 37 "Net-(D28-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D1F1) + (at 91.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB17) + (attr smd) + (fp_text reference D27 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 36 "Net-(D27-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D1CD) + (at 76.25 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DBB11) + (attr smd) + (fp_text reference D26 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 35 "Net-(D26-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D1A9) + (at 60.75 94.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DACCF) + (attr smd) + (fp_text reference D25 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 ROW3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 33 "Net-(D25-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D185) + (at 231.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /6192CF3E) + (attr smd) + (fp_text reference D24 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 32 "Net-(D24-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D161) + (at 215.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC9D) + (attr smd) + (fp_text reference D23 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 31 "Net-(D23-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D13D) + (at 200.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC97) + (attr smd) + (fp_text reference D22 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 30 "Net-(D22-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D119) + (at 184.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC84) + (attr smd) + (fp_text reference D21 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 29 "Net-(D21-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D0F5) + (at 169.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC7E) + (attr smd) + (fp_text reference D20 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 28 "Net-(D20-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D0D1) + (at 153.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC6B) + (attr smd) + (fp_text reference D19 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 27 "Net-(D19-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D0AD) + (at 138.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC65) + (attr smd) + (fp_text reference D18 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 26 "Net-(D18-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D089) + (at 122.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC52) + (attr smd) + (fp_text reference D17 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 25 "Net-(D17-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D065) + (at 107.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC4C) + (attr smd) + (fp_text reference D16 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 24 "Net-(D16-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D041) + (at 91.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC39) + (attr smd) + (fp_text reference D15 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 23 "Net-(D15-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197D01D) + (at 76.25 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594DAC33) + (attr smd) + (fp_text reference D14 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 "Net-(D14-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CFF9) + (at 60.75 79 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594EABBD) + (attr smd) + (fp_text reference D13 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 21 ROW2)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 20 "Net-(D13-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CFD5) + (at 231.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /6192CF26) + (attr smd) + (fp_text reference D12 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 19 "Net-(D12-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CFB1) + (at 215.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D96FF) + (attr smd) + (fp_text reference D11 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 18 "Net-(D11-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CF8D) + (at 200.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D96EB) + (attr smd) + (fp_text reference D10 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 17 "Net-(D10-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CF69) + (at 184.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D96E5) + (attr smd) + (fp_text reference D9 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 16 "Net-(D9-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CF45) + (at 169.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D8B6C) + (attr smd) + (fp_text reference D8 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 15 "Net-(D8-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CF21) + (at 153.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D8B66) + (attr smd) + (fp_text reference D7 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 14 "Net-(D7-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CEFD) + (at 138.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D8B52) + (attr smd) + (fp_text reference D6 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 13 "Net-(D6-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CED9) + (at 122.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D8B4C) + (attr smd) + (fp_text reference D5 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 12 "Net-(D5-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CEB5) + (at 107.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D8740) + (attr smd) + (fp_text reference D4 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 11 "Net-(D4-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CE91) + (at 91.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D873A) + (attr smd) + (fp_text reference D3 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 10 "Net-(D3-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 6197CE6D) + (at 76.25 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D80D3) + (attr smd) + (fp_text reference D2 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 9 "Net-(D2-Pad2)")) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 618FD603) + (at 242.4 53) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (path /6195BBBB/5CFABDD2) + (attr virtual) + (fp_text reference H2 (at 0 -3.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MH2 (at 0 3.2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_text user %R (at 0.3 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 GND)) + ) + + (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 618FD5FB) + (at 53.1 53) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (path /6195BBBB/5CFAB6F9) + (attr virtual) + (fp_text reference H1 (at 0 -3.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MH1 (at 0 3.2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_text user %R (at 0.3 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 GND)) + ) + + (module Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5F68FEF0) (tstamp 618FD10B) + (at 60.75 63.5 180) + (descr "Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "diode handsolder") + (path /594D7FFC) + (attr smd) + (fp_text reference D1 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MMDL914T1G (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.66 -0.735) (end 0.8 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.66 0.735) (end -1.66 -0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 0.735) (end -1.66 0.735) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 7 "Net-(D1-Pad2)")) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 8 ROW1)) + (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:pocket-reform (layer B.Cu) (tedit 0) (tstamp 618EA7E5) + (at 75 68.5 180) + (path /6195BBBB/5CFBB66E) + (fp_text reference GFX1 (at 0 0) (layer B.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + ) + (fp_text value MNT (at 0.75 0) (layer B.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + ) + (fp_poly (pts (xy -1.377009 0.407026) (xy -1.250197 0.359139) (xy -1.168579 0.281225) (xy -1.133986 0.174836) + (xy -1.139853 0.078082) (xy -1.189853 -0.069892) (xy -1.277453 -0.17794) (xy -1.404513 -0.247377) + (xy -1.572893 -0.279515) (xy -1.650112 -0.282222) (xy -1.750343 -0.280555) (xy -1.805252 -0.27346) + (xy -1.825912 -0.257791) (xy -1.824116 -0.232833) (xy -1.814017 -0.1856) (xy -1.797757 -0.096498) + (xy -1.777803 0.02056) (xy -1.761636 0.119945) (xy -1.713289 0.423333) (xy -1.547182 0.423333) + (xy -1.377009 0.407026)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.334541 0.375739) (xy 1.449099 0.316773) (xy 1.526624 0.216935) (xy 1.568024 0.074948) + (xy 1.574204 -0.110462) (xy 1.571298 -0.152813) (xy 1.552262 -0.320506) (xy 1.522676 -0.453619) + (xy 1.475975 -0.573876) (xy 1.405599 -0.703002) (xy 1.40457 -0.704717) (xy 1.327564 -0.813741) + (xy 1.239785 -0.890859) (xy 1.160944 -0.937163) (xy 1.012342 -0.993841) (xy 0.860892 -1.015729) + (xy 0.725395 -1.000747) (xy 0.691444 -0.989075) (xy 0.584155 -0.915592) (xy 0.511846 -0.800179) + (xy 0.47549 -0.645954) (xy 0.476056 -0.456033) (xy 0.491011 -0.345655) (xy 0.552108 -0.103322) + (xy 0.642264 0.091832) (xy 0.760946 0.239237) (xy 0.907623 0.338323) (xy 1.081763 0.388519) + (xy 1.182043 0.395111) (xy 1.334541 0.375739)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.997424 -2.942334) (xy 8.116228 -2.969412) (xy 8.192978 -3.024928) (xy 8.232591 -3.111992) + (xy 8.240889 -3.199416) (xy 8.21549 -3.334622) (xy 8.141663 -3.442593) (xy 8.02539 -3.517086) + (xy 7.950551 -3.538015) (xy 7.85484 -3.551103) (xy 7.753349 -3.556234) (xy 7.661166 -3.553286) + (xy 7.593381 -3.542142) (xy 7.565083 -3.522682) (xy 7.564979 -3.520722) (xy 7.570448 -3.478984) + (xy 7.583967 -3.3959) (xy 7.603179 -3.285604) (xy 7.615527 -3.217333) (xy 7.664652 -2.949222) + (xy 7.83165 -2.940583) (xy 7.997424 -2.942334)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.575891 -2.939594) (xy -1.460533 -2.946429) (xy -1.386047 -2.957361) (xy -1.336866 -2.97724) + (xy -1.297421 -3.010913) (xy -1.278349 -3.032265) (xy -1.230696 -3.101877) (xy -1.217921 -3.173717) + (xy -1.222568 -3.227044) (xy -1.258379 -3.356836) (xy -1.328941 -3.451566) (xy -1.438608 -3.514075) + (xy -1.591732 -3.547201) (xy -1.724541 -3.554407) (xy -1.91097 -3.556) (xy -1.873728 -3.337278) + (xy -1.853303 -3.217961) (xy -1.834267 -3.107843) (xy -1.82045 -3.029075) (xy -1.81953 -3.023928) + (xy -1.802575 -2.9293) (xy -1.575891 -2.939594)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 5.571222 -2.971237) (xy 5.686108 -3.0228) (xy 5.764489 -3.113648) (xy 5.809018 -3.2469) + (xy 5.822349 -3.425676) (xy 5.82229 -3.432185) (xy 5.800245 -3.663903) (xy 5.742183 -3.876785) + (xy 5.652181 -4.061572) (xy 5.534319 -4.209003) (xy 5.450278 -4.276773) (xy 5.319193 -4.337833) + (xy 5.17042 -4.367013) (xy 5.024498 -4.362409) (xy 4.910666 -4.326822) (xy 4.816188 -4.259467) + (xy 4.755532 -4.168125) (xy 4.725261 -4.044039) (xy 4.721936 -3.878452) (xy 4.723511 -3.85009) + (xy 4.757813 -3.602446) (xy 4.825599 -3.389726) (xy 4.924183 -3.215393) (xy 5.050875 -3.082914) + (xy 5.202988 -2.995753) (xy 5.377834 -2.957376) (xy 5.417178 -2.955839) (xy 5.571222 -2.971237)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -9.410508 5.68142) (xy -9.350933 5.625078) (xy -9.257794 5.534965) (xy -9.134238 5.414181) + (xy -8.983409 5.265826) (xy -8.808451 5.093001) (xy -8.612509 4.898807) (xy -8.398729 4.686342) + (xy -8.170254 4.458709) (xy -7.93023 4.219007) (xy -7.923488 4.212267) (xy -6.434667 2.723644) + (xy -6.434667 4.656667) (xy -2.652889 4.656667) (xy -2.652889 3.132667) (xy -4.910667 3.132667) + (xy -4.910667 1.100667) (xy -4.910952 0.767606) (xy -4.911776 0.451542) (xy -4.913093 0.156926) + (xy -4.914856 -0.111788) (xy -4.91702 -0.350147) (xy -4.919539 -0.553698) (xy -4.922365 -0.717989) + (xy -4.925454 -0.838567) (xy -4.928759 -0.910978) (xy -4.931735 -0.931333) (xy -4.954603 -0.911864) + (xy -5.014179 -0.855522) (xy -5.107317 -0.765409) (xy -5.230874 -0.644625) (xy -5.381703 -0.496271) + (xy -5.556661 -0.323445) (xy -5.752602 -0.129251) (xy -5.966383 0.083214) (xy -6.194858 0.310847) + (xy -6.434882 0.550549) (xy -6.441624 0.557289) (xy -7.930445 2.045912) (xy -7.930445 0.557289) + (xy -7.930832 0.273354) (xy -7.931944 0.007164) (xy -7.933708 -0.236078) (xy -7.93605 -0.45117) + (xy -7.938896 -0.632908) (xy -7.942173 -0.776091) (xy -7.945807 -0.875516) (xy -7.949724 -0.92598) + (xy -7.951512 -0.931333) (xy -7.974381 -0.911864) (xy -8.033957 -0.855522) (xy -8.127095 -0.765409) + (xy -8.250651 -0.644625) (xy -8.401481 -0.496271) (xy -8.576439 -0.323445) (xy -8.77238 -0.129251) + (xy -8.986161 0.083214) (xy -9.214635 0.310847) (xy -9.45466 0.550549) (xy -9.461401 0.557289) + (xy -10.950222 2.045912) (xy -10.950222 0.557289) (xy -10.950612 0.273354) (xy -10.951731 0.007164) + (xy -10.953506 -0.236078) (xy -10.955863 -0.45117) (xy -10.958727 -0.632908) (xy -10.962024 -0.776091) + (xy -10.965681 -0.875516) (xy -10.969623 -0.92598) (xy -10.971423 -0.931333) (xy -10.994311 -0.911862) + (xy -11.053904 -0.855517) (xy -11.147056 -0.765397) (xy -11.270623 -0.644604) (xy -11.421459 -0.496238) + (xy -11.596419 -0.323401) (xy -11.792359 -0.129192) (xy -12.006134 0.083287) (xy -12.234599 0.310936) + (xy -12.474609 0.550654) (xy -12.481311 0.557356) (xy -13.97 2.046044) (xy -13.97 0.874889) + (xy -15.494 0.874889) (xy -15.494 3.287889) (xy -15.493706 3.693116) (xy -15.492844 4.069991) + (xy -15.491447 4.415474) (xy -15.489548 4.726522) (xy -15.487178 5.000093) (xy -15.48437 5.233145) + (xy -15.481157 5.422637) (xy -15.47757 5.565526) (xy -15.473642 5.658772) (xy -15.469405 5.699332) + (xy -15.468366 5.700889) (xy -15.444865 5.681306) (xy -15.384881 5.624635) (xy -15.291548 5.53399) + (xy -15.168 5.412487) (xy -15.017373 5.263241) (xy -14.842801 5.089366) (xy -14.647419 4.893978) + (xy -14.434363 4.680192) (xy -14.206766 4.451123) (xy -13.967764 4.209887) (xy -13.958477 4.200499) + (xy -12.474222 2.70011) (xy -12.474222 4.200499) (xy -12.473798 4.485587) (xy -12.472578 4.752948) + (xy -12.470643 4.997403) (xy -12.468073 5.213769) (xy -12.464949 5.396863) (xy -12.461351 5.541504) + (xy -12.45736 5.64251) (xy -12.453055 5.694698) (xy -12.450939 5.700889) (xy -12.427756 5.681372) + (xy -12.36796 5.624892) (xy -12.274695 5.534555) (xy -12.1511 5.41347) (xy -12.000319 5.264742) + (xy -11.825492 5.09148) (xy -11.629762 4.896789) (xy -11.416269 4.683778) (xy -11.188157 4.455552) + (xy -10.948566 4.215219) (xy -10.94105 4.20767) (xy -9.454445 2.714452) (xy -9.454445 4.20767) + (xy -9.454059 4.492056) (xy -9.45295 4.758705) (xy -9.451191 5.002421) (xy -9.448856 5.218011) + (xy -9.446017 5.40028) (xy -9.442748 5.544033) (xy -9.439123 5.644076) (xy -9.435215 5.695215) + (xy -9.433377 5.700889) (xy -9.410508 5.68142)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 14.746111 -4.162778) (xy 14.358171 -4.170629) (xy 13.97023 -4.17848) (xy 13.963059 -0.826296) + (xy 13.955889 2.525889) (xy 5.990166 2.532994) (xy -1.975556 2.540099) (xy -1.975556 3.302) + (xy 14.760429 3.302) (xy 14.746111 -4.162778)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 13.185224 -1.9685) (xy 13.186404 -2.422146) (xy 13.187404 -2.860237) (xy 13.188223 -3.279458) + (xy 13.188861 -3.676491) (xy 13.189318 -4.048019) (xy 13.189591 -4.390727) (xy 13.189682 -4.701295) + (xy 13.189588 -4.976409) (xy 13.18931 -5.212752) (xy 13.188847 -5.407005) (xy 13.188198 -5.555853) + (xy 13.187363 -5.655979) (xy 13.18634 -5.704066) (xy 13.185963 -5.707944) (xy 13.157322 -5.709675) + (xy 13.074436 -5.711368) (xy 12.939505 -5.713019) (xy 12.754729 -5.714621) (xy 12.522307 -5.716168) + (xy 12.244439 -5.717657) (xy 11.923325 -5.71908) (xy 11.561165 -5.720432) (xy 11.160158 -5.721708) + (xy 10.722504 -5.722902) (xy 10.250403 -5.724008) (xy 9.746054 -5.725021) (xy 9.211657 -5.725936) + (xy 8.649412 -5.726747) (xy 8.061519 -5.727448) (xy 7.450177 -5.728033) (xy 6.817586 -5.728498) + (xy 6.165946 -5.728836) (xy 5.497457 -5.729042) (xy 4.824334 -5.729111) (xy -3.527778 -5.729111) + (xy -3.527778 -4.938889) (xy -2.840732 -4.938889) (xy -2.493348 -4.938889) (xy -2.348271 -4.938445) + (xy -2.249961 -4.93598) (xy -2.188741 -4.929795) (xy -2.154936 -4.918192) (xy -2.138869 -4.899472) + (xy -2.131633 -4.875389) (xy -2.121779 -4.82477) (xy -2.105879 -4.73568) (xy -2.086088 -4.621014) + (xy -2.064561 -4.493668) (xy -2.043452 -4.36654) (xy -2.024918 -4.252525) (xy -2.011112 -4.164521) + (xy -2.00419 -4.115423) (xy -2.003778 -4.110253) (xy -1.978605 -4.099292) (xy -1.915221 -4.092897) + (xy -1.882371 -4.092222) (xy -1.760964 -4.092222) (xy -1.607205 -4.515436) (xy -1.453445 -4.938649) + (xy -1.0795 -4.938769) (xy -0.941618 -4.937755) (xy -0.826657 -4.934892) (xy -0.744999 -4.930593) + (xy -0.707021 -4.925269) (xy -0.705556 -4.923946) (xy -0.711988 -4.903611) (xy -0.438567 -4.903611) + (xy -0.430476 -4.913623) (xy -0.396095 -4.921675) (xy -0.330808 -4.927946) (xy -0.229997 -4.932614) + (xy -0.089044 -4.935855) (xy 0.096668 -4.937849) (xy 0.331757 -4.938772) (xy 0.477766 -4.938889) + (xy 1.405317 -4.938889) (xy 1.410193 -4.910012) (xy 1.758594 -4.910012) (xy 1.773875 -4.923929) + (xy 1.814516 -4.93251) (xy 1.889171 -4.937015) (xy 2.006495 -4.938704) (xy 2.099375 -4.938889) + (xy 2.452775 -4.938889) (xy 2.469742 -4.847167) (xy 2.480302 -4.785954) (xy 2.497403 -4.682201) + (xy 2.518894 -4.549139) (xy 2.542625 -4.399999) (xy 2.548879 -4.360333) (xy 2.611049 -3.965222) + (xy 3.111372 -3.957517) (xy 3.291562 -3.955636) (xy 3.42363 -3.953423) (xy 3.51575 -3.946462) + (xy 3.576098 -3.930334) (xy 3.612847 -3.900622) (xy 3.634172 -3.852909) (xy 3.636019 -3.843705) + (xy 4.011786 -3.843705) (xy 4.022345 -4.106966) (xy 4.078056 -4.340263) (xy 4.176674 -4.541021) + (xy 4.315956 -4.706666) (xy 4.493657 -4.834624) (xy 4.707534 -4.922323) (xy 4.955344 -4.967187) + (xy 5.234841 -4.966644) (xy 5.277555 -4.962675) (xy 5.408231 -4.941522) (xy 5.418999 -4.938889) + (xy 6.627737 -4.938889) (xy 7.329598 -4.938889) (xy 7.397759 -4.522611) (xy 7.465919 -4.106333) + (xy 7.713925 -4.106333) (xy 7.861939 -4.510624) (xy 7.914048 -4.651574) (xy 7.959845 -4.772847) + (xy 7.995667 -4.864952) (xy 8.017856 -4.918394) (xy 8.022785 -4.927748) (xy 8.054233 -4.931957) + (xy 8.131162 -4.934364) (xy 8.242629 -4.93483) (xy 8.377696 -4.933212) (xy 8.404122 -4.932679) + (xy 8.772626 -4.924778) (xy 8.772161 -4.923556) (xy 9.031111 -4.923556) (xy 9.057508 -4.929491) + (xy 9.129409 -4.934357) (xy 9.235873 -4.937656) (xy 9.365962 -4.938889) (xy 9.704442 -4.938889) + (xy 9.734126 -4.790722) (xy 9.747816 -4.717626) (xy 9.76874 -4.599895) (xy 9.794984 -4.44859) + (xy 9.824631 -4.274773) (xy 9.855768 -4.089507) (xy 9.861744 -4.053624) (xy 9.891239 -3.878517) + (xy 9.918123 -3.723182) (xy 9.9409 -3.595913) (xy 9.958076 -3.505004) (xy 9.968156 -3.45875) + (xy 9.969678 -3.454692) (xy 9.97812 -3.478385) (xy 9.996893 -3.550267) (xy 10.024343 -3.66337) + (xy 10.058815 -3.810727) (xy 10.098654 -3.985369) (xy 10.142204 -4.18033) (xy 10.144738 -4.19179) + (xy 10.309798 -4.938889) (xy 10.71063 -4.938889) (xy 11.119038 -4.203114) (xy 11.221684 -4.019535) + (xy 11.315451 -3.85441) (xy 11.396934 -3.71353) (xy 11.46273 -3.602688) (xy 11.509432 -3.527674) + (xy 11.533636 -3.494279) (xy 11.536187 -3.493563) (xy 11.534122 -3.527623) (xy 11.523669 -3.609383) + (xy 11.506009 -3.730914) (xy 11.482326 -3.884289) (xy 11.4538 -4.06158) (xy 11.43102 -4.19903) + (xy 11.399377 -4.388914) (xy 11.371112 -4.560821) (xy 11.347509 -4.706755) (xy 11.329852 -4.81872) + (xy 11.319423 -4.888721) (xy 11.317111 -4.908581) (xy 11.345013 -4.923227) (xy 11.427817 -4.933144) + (xy 11.564169 -4.938211) (xy 11.655778 -4.938889) (xy 11.78652 -4.937693) (xy 11.893813 -4.934436) + (xy 11.966751 -4.929612) (xy 11.994427 -4.923713) (xy 11.994444 -4.923556) (xy 11.998927 -4.892693) + (xy 12.01161 -4.813073) (xy 12.031343 -4.6916) (xy 12.056977 -4.535183) (xy 12.087364 -4.350728) + (xy 12.121355 -4.145142) (xy 12.157799 -3.925331) (xy 12.195549 -3.698202) (xy 12.233455 -3.470662) + (xy 12.270368 -3.249617) (xy 12.305138 -3.041974) (xy 12.336618 -2.854641) (xy 12.363657 -2.694523) + (xy 12.385107 -2.568527) (xy 12.399818 -2.48356) (xy 12.406264 -2.448278) (xy 12.422262 -2.370667) + (xy 11.548566 -2.370667) (xy 11.147782 -3.069167) (xy 11.043129 -3.250764) (xy 10.946283 -3.417299) + (xy 10.861141 -3.562193) (xy 10.791598 -3.678866) (xy 10.741551 -3.760738) (xy 10.714895 -3.801229) + (xy 10.713011 -3.80348) (xy 10.699832 -3.803671) (xy 10.682818 -3.776344) (xy 10.66053 -3.716769) + (xy 10.631526 -3.620216) (xy 10.594367 -3.481954) (xy 10.547614 -3.297251) (xy 10.500416 -3.10498) + (xy 10.321809 -2.370667) (xy 9.458929 -2.370667) (xy 9.44293 -2.448278) (xy 9.435068 -2.491615) + (xy 9.419461 -2.582033) (xy 9.397257 -2.712626) (xy 9.369607 -2.876486) (xy 9.337658 -3.066708) + (xy 9.30256 -3.276383) (xy 9.265462 -3.498606) (xy 9.227513 -3.72647) (xy 9.189862 -3.953068) + (xy 9.153659 -4.171493) (xy 9.120052 -4.374839) (xy 9.090191 -4.556199) (xy 9.065224 -4.708666) + (xy 9.046301 -4.825334) (xy 9.034571 -4.899296) (xy 9.031111 -4.923556) (xy 8.772161 -4.923556) + (xy 8.595718 -4.459939) (xy 8.41881 -3.995101) (xy 8.535542 -3.921072) (xy 8.710865 -3.777584) + (xy 8.845743 -3.598309) (xy 8.936312 -3.390446) (xy 8.978708 -3.161194) (xy 8.981449 -3.090333) + (xy 8.969766 -2.913586) (xy 8.928375 -2.772026) (xy 8.851381 -2.649663) (xy 8.796968 -2.589961) + (xy 8.734965 -2.532607) (xy 8.671554 -2.487106) (xy 8.599294 -2.451959) (xy 8.510746 -2.425671) + (xy 8.39847 -2.406745) (xy 8.255027 -2.393684) (xy 8.072976 -2.384992) (xy 7.844878 -2.379172) + (xy 7.739944 -2.377327) (xy 7.550154 -2.374948) (xy 7.380574 -2.374144) (xy 7.238874 -2.374845) + (xy 7.132725 -2.376977) (xy 7.069796 -2.380469) (xy 7.055555 -2.383795) (xy 7.051073 -2.414945) + (xy 7.038393 -2.494851) (xy 7.018664 -2.616603) (xy 6.993033 -2.77329) (xy 6.96265 -2.958004) + (xy 6.928664 -3.163835) (xy 6.892222 -3.383872) (xy 6.854473 -3.611207) (xy 6.816566 -3.838929) + (xy 6.77965 -4.060129) (xy 6.744872 -4.267897) (xy 6.713382 -4.455324) (xy 6.686329 -4.615499) + (xy 6.66486 -4.741513) (xy 6.650124 -4.826456) (xy 6.643736 -4.861278) (xy 6.627737 -4.938889) + (xy 5.418999 -4.938889) (xy 5.546599 -4.90769) (xy 5.623008 -4.882883) (xy 5.851556 -4.767747) + (xy 6.054674 -4.605953) (xy 6.228016 -4.4032) (xy 6.367236 -4.165188) (xy 6.467987 -3.897617) + (xy 6.508919 -3.721094) (xy 6.537447 -3.438939) (xy 6.518775 -3.182159) (xy 6.455259 -2.953813) + (xy 6.349257 -2.756959) (xy 6.203123 -2.594657) (xy 6.019216 -2.469967) (xy 5.799892 -2.385947) + (xy 5.547507 -2.345656) (xy 5.446889 -2.342444) (xy 5.168225 -2.369658) (xy 4.906496 -2.449919) + (xy 4.666466 -2.581158) (xy 4.4529 -2.761305) (xy 4.431943 -2.783253) (xy 4.267004 -2.992914) + (xy 4.145208 -3.226372) (xy 4.061385 -3.494012) (xy 4.048622 -3.553052) (xy 4.011786 -3.843705) + (xy 3.636019 -3.843705) (xy 3.648248 -3.782777) (xy 3.663249 -3.68581) (xy 3.668138 -3.65665) + (xy 3.685865 -3.560198) (xy 3.696062 -3.489775) (xy 3.691769 -3.441324) (xy 3.666026 -3.410788) + (xy 3.611875 -3.394112) (xy 3.522357 -3.387237) (xy 3.390513 -3.386107) (xy 3.209383 -3.386666) + (xy 3.204996 -3.386667) (xy 2.712882 -3.386667) (xy 2.728977 -3.280833) (xy 2.744955 -3.177568) + (xy 2.762047 -3.069544) (xy 2.763241 -3.062111) (xy 2.781411 -2.949222) (xy 3.338039 -2.94157) + (xy 3.508337 -2.938918) (xy 3.657589 -2.935999) (xy 3.777286 -2.933029) (xy 3.85892 -2.930223) + (xy 3.893985 -2.927798) (xy 3.894705 -2.927459) (xy 3.899272 -2.898725) (xy 3.911433 -2.827534) + (xy 3.928935 -2.727007) (xy 3.937 -2.681111) (xy 3.956247 -2.56797) (xy 3.970914 -2.474392) + (xy 3.978654 -2.415624) (xy 3.979294 -2.405944) (xy 3.967359 -2.39573) (xy 3.928414 -2.387548) + (xy 3.85779 -2.381215) (xy 3.750818 -2.376548) (xy 3.602828 -2.373363) (xy 3.40915 -2.371477) + (xy 3.165114 -2.370707) (xy 3.0808 -2.370667) (xy 2.182267 -2.370667) (xy 1.978164 -3.605389) + (xy 1.935319 -3.863797) (xy 1.894901 -4.106064) (xy 1.857915 -4.326285) (xy 1.825361 -4.51856) + (xy 1.798243 -4.676987) (xy 1.777564 -4.795662) (xy 1.764326 -4.868686) (xy 1.760017 -4.8895) + (xy 1.758594 -4.910012) (xy 1.410193 -4.910012) (xy 1.437488 -4.748389) (xy 1.456734 -4.635052) + (xy 1.474656 -4.530558) (xy 1.485859 -4.466167) (xy 1.502059 -4.374444) (xy 0.346372 -4.374444) + (xy 0.371405 -4.226278) (xy 0.386001 -4.13093) (xy 0.398195 -4.060455) (xy 0.415875 -4.011028) + (xy 0.446928 -3.978824) (xy 0.49924 -3.960019) (xy 0.580699 -3.950789) (xy 0.699192 -3.94731) + (xy 0.862605 -3.945756) (xy 0.952144 -3.944677) (xy 1.480191 -3.937) (xy 1.525597 -3.661833) + (xy 1.571002 -3.386667) (xy 0.509411 -3.386667) (xy 0.524536 -3.309055) (xy 0.537616 -3.233936) + (xy 0.553096 -3.134296) (xy 0.559439 -3.090333) (xy 0.579216 -2.949222) (xy 1.160715 -2.941605) + (xy 1.742214 -2.933989) (xy 1.776447 -2.715828) (xy 1.794872 -2.602385) (xy 1.811974 -2.503904) + (xy 1.824423 -2.439454) (xy 1.825621 -2.434167) (xy 1.840563 -2.370667) (xy -0.020458 -2.370667) + (xy -0.223904 -3.6195) (xy -0.266268 -3.878817) (xy -0.306139 -4.121475) (xy -0.34255 -4.341691) + (xy -0.374535 -4.533684) (xy -0.401127 -4.69167) (xy -0.42136 -4.809866) (xy -0.434266 -4.882491) + (xy -0.438567 -4.903611) (xy -0.711988 -4.903611) (xy -0.715061 -4.893897) (xy -0.741389 -4.82006) + (xy -0.781263 -4.711391) (xy -0.831404 -4.576844) (xy -0.874889 -4.461396) (xy -0.930667 -4.313255) + (xy -0.97871 -4.184324) (xy -1.015744 -4.083496) (xy -1.038496 -4.019664) (xy -1.044222 -4.001314) + (xy -1.022532 -3.980594) (xy -0.966297 -3.939629) (xy -0.904942 -3.898588) (xy -0.755319 -3.773514) + (xy -0.637012 -3.618148) (xy -0.551627 -3.44202) (xy -0.500774 -3.254659) (xy -0.486057 -3.065595) + (xy -0.509085 -2.884359) (xy -0.571466 -2.72048) (xy -0.674805 -2.583487) (xy -0.681994 -2.576656) + (xy -0.746866 -2.528534) (xy -0.83778 -2.475289) (xy -0.889352 -2.449656) (xy -0.936425 -2.429297) + (xy -0.983642 -2.413542) (xy -1.039035 -2.401674) (xy -1.110642 -2.39298) (xy -1.206496 -2.386745) + (xy -1.334632 -2.382253) (xy -1.503086 -2.378791) (xy -1.719892 -2.375642) (xy -1.721583 -2.37562) + (xy -2.413054 -2.366462) (xy -2.626893 -3.652675) (xy -2.840732 -4.938889) (xy -3.527778 -4.938889) + (xy -3.527778 -1.551869) (xy -2.737556 -1.551869) (xy -2.71077 -1.565934) (xy -2.629886 -1.573908) + (xy -2.494113 -1.57584) (xy -2.391834 -1.574257) (xy -2.046111 -1.566333) (xy -1.99773 -1.284111) + (xy -1.975236 -1.153283) (xy -1.954545 -1.033629) (xy -1.938662 -0.942487) (xy -1.932951 -0.910167) + (xy -1.916554 -0.818444) (xy -1.61251 -0.818444) (xy -1.40514 -0.812009) (xy -1.236271 -0.790042) + (xy -1.089151 -0.748552) (xy -0.947031 -0.68355) (xy -0.875867 -0.642943) (xy -0.793905 -0.578555) + (xy -0.232604 -0.578555) (xy -0.216897 -0.807109) (xy -0.167999 -0.99974) (xy -0.081515 -1.169069) + (xy 0.016972 -1.295444) (xy 0.182211 -1.438257) (xy 0.381412 -1.541866) (xy 0.606465 -1.604691) + (xy 0.849256 -1.62515) (xy 1.101674 -1.601663) (xy 1.312333 -1.547778) (xy 1.563846 -1.435548) + (xy 1.78227 -1.27814) (xy 1.966054 -1.077184) (xy 2.113649 -0.834313) (xy 2.206007 -0.606778) + (xy 2.215233 -0.575472) (xy 2.573664 -0.575472) (xy 2.597557 -0.822781) (xy 2.6648 -1.049691) + (xy 2.685996 -1.096647) (xy 2.801136 -1.271547) (xy 2.959398 -1.416911) (xy 3.152811 -1.527193) + (xy 3.373405 -1.596845) (xy 3.416093 -1.604757) (xy 3.535787 -1.623254) (xy 3.624434 -1.631303) + (xy 3.706429 -1.629038) (xy 3.806169 -1.61659) (xy 3.866444 -1.607208) (xy 4.005907 -1.569723) + (xy 5.108222 -1.569723) (xy 5.134649 -1.573812) (xy 5.206753 -1.577183) (xy 5.313769 -1.579503) + (xy 5.444929 -1.580439) (xy 5.45581 -1.580444) (xy 5.803397 -1.580444) (xy 7.628983 -1.580444) + (xy 9.476872 -1.580444) (xy 9.509044 -1.389944) (xy 9.52829 -1.276607) (xy 9.546211 -1.172113) + (xy 9.557415 -1.107722) (xy 9.573615 -1.016) (xy 8.431153 -1.016) (xy 8.450527 -0.844761) + (xy 8.459549 -0.758476) (xy 8.469419 -0.694526) (xy 8.487807 -0.649466) (xy 8.522383 -0.619849) + (xy 8.580819 -0.60223) (xy 8.670785 -0.593165) (xy 8.799951 -0.589207) (xy 8.975988 -0.586911) + (xy 9.026102 -0.586223) (xy 9.551747 -0.578555) (xy 9.597152 -0.303389) (xy 9.642558 -0.028222) + (xy 9.109155 -0.028222) (xy 8.923244 -0.027885) (xy 8.786577 -0.026419) (xy 8.691952 -0.023138) + (xy 8.632171 -0.017358) (xy 8.600033 -0.008395) (xy 8.58834 0.004436) (xy 8.589703 0.021167) + (xy 8.602233 0.078935) (xy 8.616959 0.1647) (xy 8.621889 0.197556) (xy 8.631689 0.273087) + (xy 8.641371 0.329699) (xy 8.658349 0.370097) (xy 8.690039 0.396986) (xy 8.743857 0.413071) + (xy 8.827218 0.421058) (xy 8.947539 0.423651) (xy 9.112233 0.423556) (xy 9.240807 0.423333) + (xy 9.813589 0.423333) (xy 9.821633 0.474595) (xy 10.103555 0.474595) (xy 10.107821 0.453944) + (xy 10.126443 0.439703) (xy 10.168159 0.430696) (xy 10.241708 0.42575) (xy 10.355828 0.423691) + (xy 10.485093 0.423333) (xy 10.63802 0.423084) (xy 10.743024 0.421351) (xy 10.808626 0.416659) + (xy 10.843347 0.407535) (xy 10.85571 0.392502) (xy 10.854234 0.370086) (xy 10.851936 0.359833) + (xy 10.843931 0.316959) (xy 10.828233 0.225665) (xy 10.806056 0.093272) (xy 10.778612 -0.072903) + (xy 10.747114 -0.265539) (xy 10.712775 -0.477319) (xy 10.696454 -0.578555) (xy 10.661211 -0.796652) + (xy 10.628243 -0.998953) (xy 10.598762 -1.178138) (xy 10.573985 -1.326889) (xy 10.555124 -1.437887) + (xy 10.543396 -1.503813) (xy 10.54074 -1.516944) (xy 10.536189 -1.543432) (xy 10.543213 -1.56129) + (xy 10.570705 -1.572219) (xy 10.627557 -1.57792) (xy 10.722662 -1.580095) (xy 10.864912 -1.580444) + (xy 11.219543 -1.580444) (xy 11.385486 -0.578555) (xy 11.55143 0.423333) (xy 12.325367 0.423333) + (xy 12.35969 0.642056) (xy 12.378133 0.755619) (xy 12.39525 0.854216) (xy 12.407719 0.918819) + (xy 12.408954 0.924278) (xy 12.423897 0.987778) (xy 10.189297 0.987778) (xy 10.175399 0.924278) + (xy 10.156226 0.828343) (xy 10.136523 0.71664) (xy 10.119233 0.60758) (xy 10.107296 0.519571) + (xy 10.103555 0.474595) (xy 9.821633 0.474595) (xy 9.847912 0.642056) (xy 9.866356 0.755619) + (xy 9.883473 0.854216) (xy 9.895942 0.918819) (xy 9.897177 0.924278) (xy 9.912119 0.987778) + (xy 8.050809 0.987778) (xy 7.947467 0.345722) (xy 7.90873 0.106438) (xy 7.864278 -0.165886) + (xy 7.817826 -0.448636) (xy 7.773087 -0.719201) (xy 7.736554 -0.938389) (xy 7.628983 -1.580444) + (xy 5.803397 -1.580444) (xy 5.853669 -1.262944) (xy 5.876176 -1.125065) (xy 5.895754 -1.028714) + (xy 5.918107 -0.959641) (xy 5.948935 -0.903592) (xy 5.993942 -0.846317) (xy 6.041987 -0.792257) + (xy 6.11063 -0.720139) (xy 6.164706 -0.670839) (xy 6.19384 -0.653682) (xy 6.195362 -0.654399) + (xy 6.211018 -0.684169) (xy 6.244847 -0.757122) (xy 6.292921 -0.864506) (xy 6.351312 -0.997567) + (xy 6.40342 -1.118031) (xy 6.596147 -1.566333) (xy 7.009295 -1.574143) (xy 7.154696 -1.575847) + (xy 7.277688 -1.575297) (xy 7.368402 -1.572694) (xy 7.416969 -1.56824) (xy 7.422444 -1.565707) + (xy 7.411213 -1.536723) (xy 7.379542 -1.463236) (xy 7.330468 -1.352075) (xy 7.267026 -1.210069) + (xy 7.19225 -1.044047) (xy 7.109176 -0.860838) (xy 7.107863 -0.857953) (xy 6.793282 -0.166445) + (xy 6.876595 -0.076167) (xy 6.923258 -0.025427) (xy 6.99976 0.057958) (xy 7.097848 0.164983) + (xy 7.209265 0.286642) (xy 7.29563 0.381) (xy 7.414472 0.510664) (xy 7.528918 0.635151) + (xy 7.629858 0.74458) (xy 7.708179 0.829066) (xy 7.744441 0.867833) (xy 7.85753 0.987778) + (xy 7.038276 0.987778) (xy 6.560289 0.459543) (xy 6.407843 0.293098) (xy 6.280923 0.158739) + (xy 6.18202 0.058939) (xy 6.113622 -0.003823) (xy 6.078217 -0.027074) (xy 6.073782 -0.02445) + (xy 6.075346 0.017789) (xy 6.08532 0.104712) (xy 6.102235 0.225432) (xy 6.124619 0.369059) + (xy 6.137075 0.444124) (xy 6.169874 0.632364) (xy 6.193095 0.771739) (xy 6.203184 0.869506) + (xy 6.196587 0.932921) (xy 6.169747 0.969243) (xy 6.119111 0.985729) (xy 6.041122 0.989635) + (xy 5.932227 0.988218) (xy 5.87076 0.987778) (xy 5.727938 0.987306) (xy 5.631736 0.984711) + (xy 5.572333 0.978223) (xy 5.539906 0.966075) (xy 5.524631 0.946496) (xy 5.518157 0.924278) + (xy 5.51134 0.886851) (xy 5.496731 0.801483) (xy 5.475464 0.675064) (xy 5.44867 0.514483) + (xy 5.417481 0.326629) (xy 5.38303 0.118391) (xy 5.34645 -0.103341) (xy 5.308872 -0.331679) + (xy 5.27143 -0.559734) (xy 5.235254 -0.780617) (xy 5.201479 -0.987437) (xy 5.171235 -1.173307) + (xy 5.145656 -1.331337) (xy 5.125874 -1.454637) (xy 5.113021 -1.53632) (xy 5.10823 -1.569495) + (xy 5.108222 -1.569723) (xy 4.005907 -1.569723) (xy 4.119003 -1.539325) (xy 4.353961 -1.422371) + (xy 4.562552 -1.262758) (xy 4.73601 -1.066894) (xy 4.840184 -0.89527) (xy 4.884809 -0.799345) + (xy 4.914459 -0.72226) (xy 4.923908 -0.678006) (xy 4.922809 -0.674147) (xy 4.89009 -0.66439) + (xy 4.812687 -0.65657) (xy 4.702348 -0.651508) (xy 4.57739 -0.650003) (xy 4.247444 -0.650895) + (xy 4.174216 -0.771708) (xy 4.080591 -0.880939) (xy 3.958879 -0.958372) (xy 3.82086 -1.003048) + (xy 3.678318 -1.014012) (xy 3.543034 -0.990306) (xy 3.426791 -0.930972) (xy 3.343779 -0.839155) + (xy 3.311987 -0.767601) (xy 3.295312 -0.676482) (xy 3.290709 -0.548387) (xy 3.290769 -0.536222) + (xy 3.312491 -0.305778) (xy 3.37148 -0.094415) (xy 3.463547 0.089461) (xy 3.5845 0.23744) + (xy 3.727241 0.33964) (xy 3.845464 0.378972) (xy 3.983402 0.394281) (xy 4.115537 0.384372) + (xy 4.191788 0.361395) (xy 4.271329 0.297676) (xy 4.327096 0.200554) (xy 4.346222 0.100179) + (xy 4.346222 0.028222) (xy 5.05994 0.028222) (xy 5.042942 0.210248) (xy 4.997559 0.428563) + (xy 4.9065 0.615105) (xy 4.771961 0.768006) (xy 4.596138 0.885394) (xy 4.38123 0.965398) + (xy 4.129432 1.006148) (xy 4.106333 1.007745) (xy 3.81983 1.000574) (xy 3.555906 0.941724) + (xy 3.313852 0.830933) (xy 3.092961 0.667938) (xy 3.032077 0.610185) (xy 2.870235 0.413828) + (xy 2.741406 0.186888) (xy 2.647656 -0.060441) (xy 2.591053 -0.317959) (xy 2.573664 -0.575472) + (xy 2.215233 -0.575472) (xy 2.236447 -0.503499) (xy 2.256044 -0.403177) (xy 2.266934 -0.288361) + (xy 2.271253 -0.141598) (xy 2.271619 -0.070555) (xy 2.270743 0.077368) (xy 2.266235 0.184037) + (xy 2.255691 0.264632) (xy 2.236706 0.334329) (xy 2.206874 0.408307) (xy 2.189784 0.445925) + (xy 2.072855 0.635732) (xy 1.917973 0.788821) (xy 1.731367 0.903595) (xy 1.519271 0.978459) + (xy 1.287915 1.011817) (xy 1.043533 1.002071) (xy 0.792354 0.947628) (xy 0.569474 0.86092) + (xy 0.346081 0.724464) (xy 0.154799 0.544909) (xy -0.001476 0.327453) (xy -0.119848 0.077292) + (xy -0.197422 -0.200377) (xy -0.231301 -0.500357) (xy -0.232604 -0.578555) (xy -0.793905 -0.578555) + (xy -0.706074 -0.509557) (xy -0.566461 -0.335686) (xy -0.466133 -0.133208) (xy -0.45109 -0.088749) + (xy -0.402669 0.138674) (xy -0.402931 0.349658) (xy -0.451047 0.539351) (xy -0.546186 0.7029) + (xy -0.595987 0.75868) (xy -0.66078 0.819303) (xy -0.725229 0.867227) (xy -0.796986 0.904077) + (xy -0.883701 0.931479) (xy -0.993024 0.951058) (xy -1.132606 0.964439) (xy -1.310098 0.973249) + (xy -1.53315 0.979112) (xy -1.642848 0.981096) (xy -2.312029 0.992232) (xy -2.327168 0.926505) + (xy -2.335492 0.882743) (xy -2.351507 0.791655) (xy -2.37406 0.660208) (xy -2.401996 0.495366) + (xy -2.434163 0.304096) (xy -2.469406 0.093362) (xy -2.506574 -0.12987) (xy -2.544512 -0.358634) + (xy -2.582067 -0.585966) (xy -2.618085 -0.804899) (xy -2.651413 -1.008468) (xy -2.680898 -1.189708) + (xy -2.705387 -1.341654) (xy -2.723725 -1.457339) (xy -2.73476 -1.529799) (xy -2.737556 -1.551869) + (xy -3.527778 -1.551869) (xy -3.527778 1.749778) (xy 13.174967 1.749778) (xy 13.185224 -1.9685)) (layer B.SilkS) (width 0.01)) + ) + + (module Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm (layer B.Cu) (tedit 5A0FD1B2) (tstamp 618EA7D2) + (at 138.95 47.9 270) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (path /6195BBBB/5A6378F6) + (attr smd) + (fp_text reference Y1 (at 0.1 -2.2 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 16MHz (at 0 -2.45 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 2.1 1.7) (end -2.1 1.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.1 -1.7) (end 2.1 1.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.1 -1.7) (end 2.1 -1.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.1 1.7) (end -2.1 -1.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2 -1.65) (end 2 -1.65) (layer B.SilkS) (width 0.12)) + (fp_line (start -2 1.65) (end -2 -1.65) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.6 -0.25) (end -0.6 -1.25) (layer B.Fab) (width 0.1)) + (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer B.Fab) (width 0.1)) + (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer B.Fab) (width 0.1)) + (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer B.Fab) (width 0.1)) + (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105)) (justify mirror)) + ) + (pad 1 smd rect (at -1.1 -0.85 270) (size 1.4 1.2) (layers B.Cu B.Paste B.Mask) + (net 4 "Net-(C6-Pad1)")) + (pad 2 smd rect (at 1.1 -0.85 270) (size 1.4 1.2) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 3 smd rect (at 1.1 0.85 270) (size 1.4 1.2) (layers B.Cu B.Paste B.Mask) + (net 5 "Net-(C7-Pad1)")) + (pad 4 smd rect (at -1.1 0.85 270) (size 1.4 1.2) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23 (layer B.Cu) (tedit 5A02FF57) (tstamp 618EA7BD) + (at 160.9 45) + (descr "SOT-23, Standard") + (tags SOT-23) + (path /6195BBBB/5F214B98) + (attr smd) + (fp_text reference U1 (at 0 -2.5) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MCP1700-3302E_SOT23 (at 0 -2.5) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end -1.4 1.58) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.7 -1.75) (end -1.7 1.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1.75) (end -1.7 -1.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.7 1.75) (end 1.7 -1.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.7 1.75) (end 1.7 1.75) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.7 -1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1)) + (fp_line (start 0.7 1.52) (end 0.7 -1.52) (layer B.Fab) (width 0.1)) + (fp_line (start -0.7 0.95) (end -0.15 1.52) (layer B.Fab) (width 0.1)) + (fp_line (start -0.15 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.1)) + (fp_line (start -0.7 0.95) (end -0.7 -1.5) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + ) + (pad 1 smd rect (at -1 0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 2 smd rect (at -1 -0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask) + (net 3 +3V3)) + (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask) + (net 2 +5V)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal (layer F.Cu) (tedit 5B78AD87) (tstamp 618EA79A) + (at 169.75 46.1 180) + (descr "JST PH series connector, S4B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH top entry") + (path /6195BBBB/5A213B3D) + (attr smd) + (fp_text reference J1 (at -7 4 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value USB (at 0 5.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3 -0.892893) (end -2.5 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.5 -1.6) (end -3 -0.892893) (layer F.Fab) (width 0.1)) + (fp_line (start 6.6 -5.1) (end -6.6 -5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.6 5.1) (end 6.6 -5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.6 5.1) (end 6.6 5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.6 -5.1) (end -6.6 5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.95 -3.2) (end 5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 -3.2) (end -5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 4.4) (end 5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -4.34 4.51) (end 4.34 4.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.04 -1.71) (end 3.76 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.04 -3.31) (end 5.04 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.06 -3.31) (end 5.04 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.06 0.94) (end 6.06 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.76 -1.71) (end -3.76 -4.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.04 -1.71) (end -3.76 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.04 -3.31) (end -5.04 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.06 -3.31) (end -5.04 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.06 0.94) (end -6.06 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.15 -3.2) (end 5.95 -3.2) (layer F.Fab) (width 0.1)) + (fp_line (start 5.15 -1.6) (end 5.15 -3.2) (layer F.Fab) (width 0.1)) + (fp_line (start -5.15 -1.6) (end 5.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -5.15 -3.2) (end -5.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 -3.2) (end -5.15 -3.2) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 smd roundrect (at -3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at -1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 73 D-)) + (pad 3 smd roundrect (at 1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 72 D+)) + (pad 4 smd roundrect (at 3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad MP smd roundrect (at -5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.1666666666666667)) + (pad MP smd roundrect (at 5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.1666666666666667)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KIPRJMOD}/3d-models/S4B-PH-SM4-TB.stp + (offset (xyz 0 -4.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA78A) + (at 170.75 52.85 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5A213EDF) + (attr smd) + (fp_text reference R5 (at -2 0) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 22 (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 83 "/Pocket Reform KBD MCU/UD-")) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 73 D-)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module footprints:mpre-badge-d1 (layer B.Cu) (tedit 0) (tstamp 618EA721) + (at 71 85.5) + (path /6195BBBB/60BAEE79) + (fp_text reference GFX2 (at 0 0) (layer B.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + ) + (fp_text value MNT (at 0.75 0) (layer B.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + ) + (fp_poly (pts (xy -9.007628 -1.53092) (xy -8.998331 -1.558791) (xy -8.999653 -1.571408) (xy -9.019685 -1.611131) + (xy -9.055025 -1.628138) (xy -9.086824 -1.616453) (xy -9.099591 -1.584612) (xy -9.101666 -1.562805) + (xy -9.087542 -1.532113) (xy -9.047278 -1.524) (xy -9.007628 -1.53092)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.942792 2.645755) (xy 8.045677 2.643762) (xy 8.117507 2.636714) (xy 8.169429 2.622859) + (xy 8.206348 2.604357) (xy 8.280541 2.5357) (xy 8.33018 2.44064) (xy 8.351951 2.329394) + (xy 8.34254 2.212177) (xy 8.338674 2.196356) (xy 8.298748 2.096568) (xy 8.236821 2.024395) + (xy 8.148545 1.977268) (xy 8.029567 1.952617) (xy 7.917013 1.947334) (xy 7.747 1.947334) + (xy 7.747 2.518834) (xy 7.916334 2.518834) (xy 7.916334 2.074334) (xy 7.980885 2.074334) + (xy 8.048603 2.08459) (xy 8.10532 2.105301) (xy 8.150847 2.135316) (xy 8.177133 2.174349) + (xy 8.189043 2.233953) (xy 8.1915 2.30986) (xy 8.177074 2.408443) (xy 8.134007 2.476027) + (xy 8.06262 2.51226) (xy 8.001962 2.518834) (xy 7.916334 2.518834) (xy 7.747 2.518834) + (xy 7.747 2.645834) (xy 7.942792 2.645755)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.40752 2.645068) (xy 7.470815 2.641146) (xy 7.513487 2.631632) (xy 7.546388 2.61409) + (xy 7.580371 2.586083) (xy 7.580861 2.585646) (xy 7.624227 2.539839) (xy 7.64378 2.492668) + (xy 7.648222 2.426445) (xy 7.641612 2.352034) (xy 7.616991 2.298191) (xy 7.592881 2.269667) + (xy 7.537539 2.211903) (xy 7.608823 2.078484) (xy 7.680108 1.945066) (xy 7.591846 1.951491) + (xy 7.542689 1.957464) (xy 7.509315 1.972659) (xy 7.480859 2.006244) (xy 7.446461 2.067385) + (xy 7.440084 2.079565) (xy 7.399156 2.150478) (xy 7.365644 2.188612) (xy 7.33299 2.201148) + (xy 7.328959 2.201273) (xy 7.302501 2.197828) (xy 7.288211 2.180833) (xy 7.282389 2.140431) + (xy 7.281334 2.074334) (xy 7.281334 1.947334) (xy 7.112 1.947334) (xy 7.112 2.518834) + (xy 7.281334 2.518834) (xy 7.281334 2.328334) (xy 7.361767 2.328334) (xy 7.42103 2.334652) + (xy 7.463298 2.350209) (xy 7.4676 2.353734) (xy 7.488807 2.395) (xy 7.493 2.423584) + (xy 7.477659 2.479471) (xy 7.430144 2.51061) (xy 7.361767 2.518834) (xy 7.281334 2.518834) + (xy 7.112 2.518834) (xy 7.112 2.645834) (xy 7.31275 2.645834) (xy 7.40752 2.645068)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 6.698222 2.641175) (xy 6.803028 2.63525) (xy 6.914036 2.31775) (xy 6.952021 2.207629) + (xy 6.984558 2.110487) (xy 7.009213 2.033815) (xy 7.023553 1.985109) (xy 7.026189 1.972514) + (xy 7.010727 1.95379) (xy 6.959671 1.950553) (xy 6.94863 1.951348) (xy 6.894019 1.961067) + (xy 6.865468 1.986293) (xy 6.850318 2.026709) (xy 6.837748 2.064322) (xy 6.819788 2.084892) + (xy 6.785144 2.093562) (xy 6.722523 2.095475) (xy 6.69925 2.0955) (xy 6.627097 2.094533) + (xy 6.585983 2.088203) (xy 6.564613 2.071366) (xy 6.551694 2.038881) (xy 6.548175 2.026709) + (xy 6.530596 1.981938) (xy 6.50204 1.960561) (xy 6.447452 1.951972) (xy 6.441411 1.951513) + (xy 6.354264 1.945108) (xy 6.460013 2.255519) (xy 6.627839 2.255519) (xy 6.639647 2.23149) + (xy 6.67277 2.223202) (xy 6.69925 2.2225) (xy 6.752499 2.229343) (xy 6.77172 2.248159) + (xy 6.771693 2.248959) (xy 6.764095 2.282982) (xy 6.746466 2.340979) (xy 6.732987 2.380764) + (xy 6.695921 2.486112) (xy 6.660544 2.382286) (xy 6.635441 2.30316) (xy 6.627839 2.255519) + (xy 6.460013 2.255519) (xy 6.47384 2.296104) (xy 6.593417 2.6471) (xy 6.698222 2.641175)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 5.333791 2.644778) (xy 5.398291 2.640253) (xy 5.441657 2.63022) (xy 5.474478 2.612641) + (xy 5.495098 2.596267) (xy 5.543016 2.531526) (xy 5.553313 2.459096) (xy 5.52588 2.389574) + (xy 5.497574 2.358864) (xy 5.463078 2.326453) (xy 5.459421 2.310236) (xy 5.481307 2.301259) + (xy 5.534488 2.267463) (xy 5.570194 2.206414) (xy 5.581619 2.131827) (xy 5.578641 2.105168) + (xy 5.555556 2.040285) (xy 5.511034 1.994485) (xy 5.439848 1.965377) (xy 5.336766 1.950571) + (xy 5.233459 1.947413) (xy 5.037667 1.947334) (xy 5.037667 2.159665) (xy 5.185834 2.159665) + (xy 5.185834 2.074334) (xy 5.275792 2.074658) (xy 5.338719 2.078865) (xy 5.385689 2.088965) + (xy 5.393903 2.09283) (xy 5.412638 2.125725) (xy 5.41507 2.171202) (xy 5.403004 2.213744) + (xy 5.371001 2.237853) (xy 5.328447 2.250445) (xy 5.253626 2.261809) (xy 5.209586 2.249487) + (xy 5.18943 2.209087) (xy 5.185834 2.159665) (xy 5.037667 2.159665) (xy 5.037667 2.444255) + (xy 5.185834 2.444255) (xy 5.185834 2.368348) (xy 5.286375 2.374799) (xy 5.347066 2.380548) + (xy 5.376604 2.392604) (xy 5.386146 2.418584) (xy 5.386917 2.443405) (xy 5.380308 2.488027) + (xy 5.352183 2.512293) (xy 5.315544 2.524054) (xy 5.245061 2.535126) (xy 5.204476 2.520385) + (xy 5.187474 2.475947) (xy 5.185834 2.444255) (xy 5.037667 2.444255) (xy 5.037667 2.645834) + (xy 5.23757 2.645834) (xy 5.333791 2.644778)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 4.88259 2.513542) (xy 4.83531 2.429402) (xy 4.787047 2.344023) (xy 4.754023 2.286) + (xy 4.715941 2.201492) (xy 4.700492 2.111396) (xy 4.699255 2.069042) (xy 4.699 1.947334) + (xy 4.550834 1.947334) (xy 4.550834 2.072756) (xy 4.549001 2.130426) (xy 4.541032 2.180452) + (xy 4.523219 2.233096) (xy 4.491856 2.298615) (xy 4.443235 2.387269) (xy 4.426547 2.416715) + (xy 4.30226 2.63525) (xy 4.365062 2.642034) (xy 4.418915 2.644019) (xy 4.458803 2.631588) + (xy 4.493299 2.597801) (xy 4.530974 2.535717) (xy 4.555896 2.48751) (xy 4.626012 2.348428) + (xy 4.70633 2.497131) (xy 4.747182 2.571061) (xy 4.776722 2.615241) (xy 4.803462 2.637325) + (xy 4.835912 2.64497) (xy 4.871646 2.645834) (xy 4.956644 2.645834) (xy 4.88259 2.513542)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 4.212167 2.518834) (xy 3.894667 2.518834) (xy 3.894667 2.370667) (xy 4.191 2.370667) + (xy 4.191 2.243667) (xy 3.894667 2.243667) (xy 3.894667 2.076115) (xy 4.058709 2.069933) + (xy 4.141158 2.066188) (xy 4.190432 2.06035) (xy 4.215668 2.048973) (xy 4.226001 2.028613) + (xy 4.229448 2.005542) (xy 4.236145 1.947334) (xy 3.7465 1.947334) (xy 3.7465 2.645834) + (xy 4.212167 2.645834) (xy 4.212167 2.518834)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.249084 2.362448) (xy 3.363904 2.504141) (xy 3.420723 2.572801) (xy 3.461143 2.614717) + (xy 3.494872 2.636471) (xy 3.531617 2.644646) (xy 3.572851 2.645834) (xy 3.666976 2.645834) + (xy 3.565263 2.524125) (xy 3.511596 2.459898) (xy 3.465709 2.404965) (xy 3.436906 2.370465) + (xy 3.435591 2.368889) (xy 3.426016 2.349231) (xy 3.429828 2.322015) (xy 3.450246 2.280204) + (xy 3.490486 2.216759) (xy 3.534733 2.15201) (xy 3.587029 2.075618) (xy 3.628881 2.012676) + (xy 3.655249 1.970874) (xy 3.661834 1.957996) (xy 3.643046 1.95129) (xy 3.59617 1.947589) + (xy 3.577956 1.947334) (xy 3.533406 1.949686) (xy 3.50022 1.96178) (xy 3.468536 1.991173) + (xy 3.428494 2.045423) (xy 3.405345 2.079625) (xy 3.361865 2.144225) (xy 3.328208 2.193809) + (xy 3.310708 2.219052) (xy 3.309818 2.220225) (xy 3.290591 2.215653) (xy 3.270762 2.201758) + (xy 3.247419 2.156983) (xy 3.238591 2.0731) (xy 3.2385 2.061159) (xy 3.2385 1.947334) + (xy 3.069167 1.947334) (xy 3.069167 2.645834) (xy 3.236566 2.645834) (xy 3.249084 2.362448)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.7305 1.947334) (xy 2.583814 1.947334) (xy 2.577782 2.162161) (xy 2.57175 2.376987) + (xy 2.487084 2.167452) (xy 2.447525 2.072506) (xy 2.41776 2.010413) (xy 2.39332 1.97417) + (xy 2.369736 1.95677) (xy 2.3495 1.951824) (xy 2.325158 1.952067) (xy 2.304754 1.963614) + (xy 2.283974 1.993102) (xy 2.258504 2.04717) (xy 2.224027 2.132455) (xy 2.211917 2.163491) + (xy 2.12725 2.38125) (xy 2.115186 1.947334) (xy 1.9685 1.947334) (xy 1.9685 2.645834) + (xy 2.15505 2.645834) (xy 2.223407 2.481792) (xy 2.258026 2.397603) (xy 2.288474 2.321591) + (xy 2.309243 2.267549) (xy 2.31212 2.259542) (xy 2.330845 2.218008) (xy 2.346279 2.201579) + (xy 2.35928 2.220067) (xy 2.383968 2.270009) (xy 2.41661 2.343433) (xy 2.447895 2.418537) + (xy 2.535706 2.63525) (xy 2.633103 2.641702) (xy 2.7305 2.648153) (xy 2.7305 1.947334)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.529292 2.645363) (xy 1.641498 2.6419) (xy 1.720562 2.629852) (xy 1.775364 2.605785) + (xy 1.814779 2.566264) (xy 1.839023 2.525517) (xy 1.857875 2.455702) (xy 1.854423 2.377407) + (xy 1.832044 2.304739) (xy 1.794118 2.251807) (xy 1.769935 2.236979) (xy 1.757506 2.223591) + (xy 1.761776 2.193956) (xy 1.784834 2.140748) (xy 1.812902 2.086248) (xy 1.886665 1.947334) + (xy 1.800887 1.947334) (xy 1.754281 1.949258) (xy 1.72284 1.960696) (xy 1.696536 1.990146) + (xy 1.665339 2.046105) (xy 1.651 2.074334) (xy 1.612509 2.144871) (xy 1.581891 2.18398) + (xy 1.551745 2.199715) (xy 1.534279 2.201334) (xy 1.505118 2.198606) (xy 1.489374 2.183796) + (xy 1.482929 2.146968) (xy 1.481668 2.078184) (xy 1.481667 2.074334) (xy 1.481667 1.947334) + (xy 1.3335 1.947334) (xy 1.3335 2.328334) (xy 1.481667 2.328334) (xy 1.577599 2.328334) + (xy 1.639974 2.331945) (xy 1.673629 2.346488) (xy 1.691262 2.375959) (xy 1.702277 2.441937) + (xy 1.677421 2.486457) (xy 1.615891 2.510519) (xy 1.579897 2.514702) (xy 1.481667 2.521153) + (xy 1.481667 2.328334) (xy 1.3335 2.328334) (xy 1.3335 2.645834) (xy 1.529292 2.645363)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.482948 2.587625) (xy 0.477469 2.557538) (xy 0.46334 2.539665) (xy 0.431086 2.530195) + (xy 0.371235 2.525317) (xy 0.322792 2.523198) (xy 0.169334 2.516979) (xy 0.169334 2.370667) + (xy 0.4445 2.370667) (xy 0.4445 2.243667) (xy 0.169334 2.243667) (xy 0.169334 1.947334) + (xy 0 1.947334) (xy 0 2.645834) (xy 0.489645 2.645834) (xy 0.482948 2.587625)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.109719 2.587625) (xy -0.115197 2.557538) (xy -0.129327 2.539665) (xy -0.161581 2.530195) + (xy -0.221432 2.525317) (xy -0.269875 2.523198) (xy -0.423333 2.516979) (xy -0.423333 2.370667) + (xy -0.127 2.370667) (xy -0.127 2.243667) (xy -0.423333 2.243667) (xy -0.423333 2.074334) + (xy -0.105833 2.074334) (xy -0.105833 1.947334) (xy -0.592666 1.947334) (xy -0.592666 2.645834) + (xy -0.103021 2.645834) (xy -0.109719 2.587625)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.930218 2.645188) (xy -0.86735 2.64162) (xy -0.82589 2.632683) (xy -0.795377 2.615931) + (xy -0.765348 2.588917) (xy -0.760372 2.583962) (xy -0.71044 2.506473) (xy -0.69535 2.416564) + (xy -0.715461 2.326375) (xy -0.751037 2.269145) (xy -0.803574 2.206709) (xy -0.740837 2.092896) + (xy -0.707665 2.030415) (xy -0.684778 1.982914) (xy -0.677717 1.963209) (xy -0.696161 1.953019) + (xy -0.742379 1.947644) (xy -0.756708 1.947394) (xy -0.801071 1.950013) (xy -0.831931 1.963568) + (xy -0.859468 1.996729) (xy -0.893861 2.05817) (xy -0.899583 2.069103) (xy -0.938704 2.13795) + (xy -0.970183 2.17546) (xy -1.001769 2.189733) (xy -1.016 2.19075) (xy -1.046005 2.187534) + (xy -1.062693 2.171144) (xy -1.070987 2.131467) (xy -1.075264 2.069042) (xy -1.081612 1.947334) + (xy -1.227666 1.947334) (xy -1.227666 2.518834) (xy -1.0795 2.518834) (xy -1.0795 2.328334) + (xy -0.988483 2.328334) (xy -0.926111 2.33392) (xy -0.880358 2.34789) (xy -0.872066 2.353734) + (xy -0.85086 2.395) (xy -0.846666 2.423584) (xy -0.86073 2.477685) (xy -0.905423 2.508589) + (xy -0.984502 2.518819) (xy -0.988483 2.518834) (xy -1.0795 2.518834) (xy -1.227666 2.518834) + (xy -1.227666 2.645834) (xy -1.024955 2.645834) (xy -0.930218 2.645188)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.545166 2.582334) (xy -1.547479 2.544743) (xy -1.561695 2.525998) (xy -1.598737 2.519547) + (xy -1.651 2.518834) (xy -1.756833 2.518834) (xy -1.756833 1.947334) (xy -1.905 1.947334) + (xy -1.905 2.518834) (xy -2.010833 2.518834) (xy -2.073484 2.520221) (xy -2.104726 2.528751) + (xy -2.115478 2.550976) (xy -2.116666 2.582334) (xy -2.116666 2.645834) (xy -1.545166 2.645834) + (xy -1.545166 2.582334)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.205219 2.587625) (xy -2.210387 2.558327) (xy -2.223648 2.540582) (xy -2.254138 2.530943) + (xy -2.310993 2.525965) (xy -2.375958 2.523235) (xy -2.54 2.517053) (xy -2.54 2.372522) + (xy -2.386541 2.366303) (xy -2.307349 2.362329) (xy -2.260995 2.355871) (xy -2.238007 2.343118) + (xy -2.228912 2.32026) (xy -2.226386 2.301875) (xy -2.219688 2.243667) (xy -2.54 2.243667) + (xy -2.54 2.074334) (xy -2.201333 2.074334) (xy -2.201333 1.947334) (xy -2.688166 1.947334) + (xy -2.688166 2.645834) (xy -2.198521 2.645834) (xy -2.205219 2.587625)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.196166 2.34487) (xy -3.074458 2.495282) (xy -3.015777 2.566468) (xy -2.974136 2.610719) + (xy -2.940473 2.634425) (xy -2.905721 2.643976) (xy -2.861013 2.645763) (xy -2.769276 2.645834) + (xy -2.832996 2.566459) (xy -2.88833 2.498475) (xy -2.946235 2.42869) (xy -2.959072 2.41346) + (xy -3.021426 2.339836) (xy -2.89713 2.15498) (xy -2.845935 2.077824) (xy -2.804982 2.014169) + (xy -2.779217 1.97182) (xy -2.772833 1.958729) (xy -2.791619 1.951563) (xy -2.838494 1.947606) + (xy -2.856711 1.947334) (xy -2.901261 1.949686) (xy -2.934447 1.96178) (xy -2.96613 1.991173) + (xy -3.006172 2.045423) (xy -3.029321 2.079625) (xy -3.073168 2.144633) (xy -3.107592 2.194996) + (xy -3.126067 2.221178) (xy -3.127091 2.222467) (xy -3.145736 2.222411) (xy -3.166087 2.191259) + (xy -3.183837 2.139818) (xy -3.19468 2.078896) (xy -3.196166 2.049122) (xy -3.196166 1.947334) + (xy -3.3655 1.947334) (xy -3.3655 2.645834) (xy -3.196166 2.645834) (xy -3.196166 2.34487)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.178856 2.644969) (xy -5.117782 2.640562) (xy -5.076187 2.629891) (xy -5.04265 2.610235) + (xy -5.011542 2.58407) (xy -4.969449 2.541047) (xy -4.94898 2.498646) (xy -4.942667 2.438388) + (xy -4.942416 2.413) (xy -4.94584 2.343381) (xy -4.961088 2.29739) (xy -4.995628 2.256545) + (xy -5.011542 2.241931) (xy -5.061148 2.204124) (xy -5.111604 2.185673) (xy -5.181895 2.180249) + (xy -5.19675 2.180167) (xy -5.312833 2.180167) (xy -5.312833 1.947334) (xy -5.461 1.947334) + (xy -5.461 2.518834) (xy -5.312833 2.518834) (xy -5.312833 2.307167) (xy -5.2324 2.307167) + (xy -5.173137 2.313486) (xy -5.130868 2.329043) (xy -5.126566 2.332567) (xy -5.107008 2.372147) + (xy -5.101166 2.413) (xy -5.113989 2.473819) (xy -5.15541 2.507903) (xy -5.22986 2.518827) + (xy -5.2324 2.518834) (xy -5.312833 2.518834) (xy -5.461 2.518834) (xy -5.461 2.645834) + (xy -5.270834 2.645834) (xy -5.178856 2.644969)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.782386 2.587625) (xy -5.790473 2.552014) (xy -5.811947 2.533621) (xy -5.858715 2.525265) + (xy -5.889625 2.522966) (xy -5.990166 2.516514) (xy -5.990166 1.947334) (xy -6.1595 1.947334) + (xy -6.1595 2.516514) (xy -6.260041 2.522966) (xy -6.321374 2.529211) (xy -6.352282 2.542401) + (xy -6.364675 2.569717) (xy -6.367281 2.587625) (xy -6.373978 2.645834) (xy -5.775688 2.645834) + (xy -5.782386 2.587625)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.948812 2.643292) (xy -6.921472 2.631812) (xy -6.89169 2.605613) (xy -6.853684 2.558915) + (xy -6.801674 2.485937) (xy -6.762531 2.428875) (xy -6.614583 2.211917) (xy -6.608551 2.428875) + (xy -6.602519 2.645834) (xy -6.455833 2.645834) (xy -6.455833 1.947334) (xy -6.526071 1.947334) + (xy -6.557131 1.949709) (xy -6.584413 1.960706) (xy -6.613723 1.98613) (xy -6.650868 2.031788) + (xy -6.701652 2.103485) (xy -6.74303 2.164292) (xy -6.88975 2.38125) (xy -6.901814 1.947334) + (xy -7.0485 1.947334) (xy -7.0485 2.645834) (xy -6.979489 2.645834) (xy -6.948812 2.643292)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.840103 2.641702) (xy -7.742705 2.63525) (xy -7.654894 2.418537) (xy -7.618422 2.331396) + (xy -7.586722 2.260945) (xy -7.563529 2.215155) (xy -7.553279 2.201579) (xy -7.537255 2.219007) + (xy -7.519119 2.259542) (xy -7.501416 2.306435) (xy -7.472745 2.378532) (xy -7.43861 2.462042) + (xy -7.430407 2.481792) (xy -7.362049 2.645834) (xy -7.1755 2.645834) (xy -7.1755 1.947334) + (xy -7.322186 1.947334) (xy -7.33425 2.38125) (xy -7.418916 2.163491) (xy -7.456759 2.068065) + (xy -7.484363 2.005754) (xy -7.506043 1.969919) (xy -7.526114 1.953923) (xy -7.54889 1.951127) + (xy -7.5565 1.951824) (xy -7.581711 1.959214) (xy -7.604497 1.979519) (xy -7.629093 2.019559) + (xy -7.659731 2.086152) (xy -7.698269 2.180167) (xy -7.787121 2.402417) (xy -7.788227 2.174875) + (xy -7.789333 1.947334) (xy -7.9375 1.947334) (xy -7.9375 2.648153) (xy -7.840103 2.641702)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 6.114164 2.638991) (xy 6.209333 2.58806) (xy 6.277348 2.505647) (xy 6.316141 2.393774) + (xy 6.323543 2.33391) (xy 6.3147 2.207675) (xy 6.273898 2.100116) (xy 6.206204 2.015969) + (xy 6.116686 1.959971) (xy 6.01041 1.936855) (xy 5.909409 1.946903) (xy 5.811318 1.990714) + (xy 5.73693 2.06668) (xy 5.689597 2.169835) (xy 5.67588 2.271449) (xy 5.824077 2.271449) + (xy 5.843545 2.183835) (xy 5.886956 2.115441) (xy 5.901041 2.10319) (xy 5.966155 2.077768) + (xy 6.04089 2.083388) (xy 6.090468 2.106708) (xy 6.137154 2.162809) (xy 6.163197 2.242298) + (xy 6.167706 2.331122) (xy 6.149793 2.415228) (xy 6.113773 2.475267) (xy 6.055832 2.510439) + (xy 5.989031 2.518834) (xy 5.929105 2.513291) (xy 5.890986 2.48944) (xy 5.862935 2.450042) + (xy 5.830043 2.36471) (xy 5.824077 2.271449) (xy 5.67588 2.271449) (xy 5.672672 2.295212) + (xy 5.672667 2.297625) (xy 5.687591 2.430677) (xy 5.731725 2.534035) (xy 5.804109 2.606628) + (xy 5.903785 2.647384) (xy 5.993906 2.656417) (xy 6.114164 2.638991)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.996428 2.645407) (xy 1.077991 2.608277) (xy 1.143624 2.538872) (xy 1.181552 2.475261) + (xy 1.216527 2.370593) (xy 1.220932 2.259231) (xy 1.197258 2.151886) (xy 1.147995 2.059268) + (xy 1.075636 1.99209) (xy 1.068168 1.98771) (xy 0.955583 1.945932) (xy 0.840202 1.941986) + (xy 0.814474 1.946673) (xy 0.708201 1.989906) (xy 0.630106 2.063938) (xy 0.581527 2.166827) + (xy 0.563803 2.296635) (xy 0.56377 2.309983) (xy 0.564988 2.319246) (xy 0.721848 2.319246) + (xy 0.727608 2.234933) (xy 0.749052 2.159197) (xy 0.784639 2.104671) (xy 0.80918 2.088501) + (xy 0.891248 2.075845) (xy 0.969824 2.098832) (xy 1.006379 2.126288) (xy 1.044386 2.19062) + (xy 1.060813 2.275638) (xy 1.055276 2.365382) (xy 1.027392 2.443895) (xy 1.014464 2.463063) + (xy 0.954489 2.508857) (xy 0.881734 2.521998) (xy 0.810361 2.502485) (xy 0.763536 2.463063) + (xy 0.733311 2.3995) (xy 0.721848 2.319246) (xy 0.564988 2.319246) (xy 0.580695 2.438636) + (xy 0.628073 2.539248) (xy 0.70417 2.609974) (xy 0.80725 2.648965) (xy 0.889 2.656417) + (xy 0.996428 2.645407)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.689797 2.657103) (xy -3.624205 2.631896) (xy -3.558799 2.586484) (xy -3.505069 2.531364) + (xy -3.474502 2.477037) (xy -3.471333 2.45745) (xy -3.487259 2.422993) (xy -3.526739 2.410197) + (xy -3.577322 2.418452) (xy -3.62656 2.447152) (xy -3.644448 2.465917) (xy -3.699826 2.506453) + (xy -3.7707 2.520605) (xy -3.840287 2.507512) (xy -3.882596 2.478143) (xy -3.912694 2.422062) + (xy -3.931301 2.343056) (xy -3.935205 2.259716) (xy -3.928494 2.212657) (xy -3.891387 2.134994) + (xy -3.832739 2.088559) (xy -3.761938 2.075793) (xy -3.688373 2.099137) (xy -3.640666 2.137834) + (xy -3.591365 2.177175) (xy -3.539492 2.198944) (xy -3.496245 2.200975) (xy -3.472821 2.181103) + (xy -3.471333 2.17058) (xy -3.490857 2.092819) (xy -3.54351 2.025655) (xy -3.620411 1.974451) + (xy -3.71268 1.944566) (xy -3.811438 1.941363) (xy -3.842193 1.946673) (xy -3.948354 1.989981) + (xy -4.026593 2.064136) (xy -4.075298 2.16682) (xy -4.092857 2.295716) (xy -4.092868 2.307167) + (xy -4.074885 2.435502) (xy -4.025897 2.538684) (xy -3.949846 2.61329) (xy -3.850674 2.655895) + (xy -3.732326 2.663078) (xy -3.689797 2.657103)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.38534 2.63215) (xy -4.294301 2.571117) (xy -4.23125 2.480085) (xy -4.198925 2.361978) + (xy -4.19629 2.33391) (xy -4.205133 2.207675) (xy -4.245935 2.100116) (xy -4.313629 2.015969) + (xy -4.403148 1.959971) (xy -4.509423 1.936855) (xy -4.610424 1.946903) (xy -4.708745 1.990717) + (xy -4.782991 2.066733) (xy -4.830136 2.17042) (xy -4.847153 2.297252) (xy -4.847166 2.301155) + (xy -4.846089 2.309596) (xy -4.699 2.309596) (xy -4.684858 2.210358) (xy -4.646173 2.135022) + (xy -4.588555 2.088221) (xy -4.517615 2.074588) (xy -4.441816 2.097204) (xy -4.390533 2.146592) + (xy -4.359134 2.221023) (xy -4.348891 2.307518) (xy -4.361072 2.393098) (xy -4.396949 2.464786) + (xy -4.40494 2.474065) (xy -4.463706 2.509284) (xy -4.540286 2.518732) (xy -4.602802 2.505685) + (xy -4.642906 2.471594) (xy -4.676798 2.411074) (xy -4.69669 2.34) (xy -4.699 2.309596) + (xy -4.846089 2.309596) (xy -4.830846 2.428974) (xy -4.784312 2.532564) (xy -4.711208 2.60814) + (xy -4.615175 2.651916) (xy -4.501631 2.660259) (xy -4.38534 2.63215)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -9.185848 2.6035) (xy -8.955058 2.360084) (xy -8.9535 2.667) (xy -8.382 2.667) + (xy -8.382 2.413) (xy -8.719592 2.413) (xy -8.73125 1.754934) (xy -8.942916 1.987016) + (xy -9.018203 2.068608) (xy -9.083783 2.137881) (xy -9.134279 2.189293) (xy -9.164314 2.217302) + (xy -9.169765 2.2208) (xy -9.176393 2.20178) (xy -9.182714 2.148922) (xy -9.187946 2.070717) + (xy -9.190932 1.991426) (xy -9.196916 1.760351) (xy -9.408583 1.992884) (xy -9.62025 2.225418) + (xy -9.630833 1.987782) (xy -9.641416 1.750147) (xy -9.868234 1.991616) (xy -10.095051 2.233084) + (xy -10.095776 2.143125) (xy -10.0965 2.053167) (xy -10.329333 2.053167) (xy -10.328275 2.846917) + (xy -10.240436 2.751667) (xy -10.156838 2.661688) (xy -10.075362 2.575191) (xy -10.00117 2.497527) + (xy -9.939423 2.434048) (xy -9.895282 2.390105) (xy -9.873909 2.371049) (xy -9.872956 2.370667) + (xy -9.869243 2.390396) (xy -9.865271 2.443843) (xy -9.861561 2.522402) (xy -9.859068 2.599984) + (xy -9.853083 2.8293) (xy -9.641416 2.599296) (xy -9.42975 2.369293) (xy -9.423194 2.608105) + (xy -9.416639 2.846917) (xy -9.185848 2.6035)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.678209 0.503599) (xy 7.760658 0.499854) (xy 7.809932 0.494016) (xy 7.835168 0.48264) + (xy 7.845501 0.46228) (xy 7.848948 0.439209) (xy 7.855645 0.381) (xy 7.514167 0.381) + (xy 7.514167 0.509781) (xy 7.678209 0.503599)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 5.3975 0.381) (xy 5.056022 0.381) (xy 5.062719 0.439209) (xy 5.067888 0.468507) + (xy 5.081149 0.486253) (xy 5.111638 0.495892) (xy 5.168493 0.50087) (xy 5.233459 0.503599) + (xy 5.3975 0.509781) (xy 5.3975 0.381)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 9.558658 0.228714) (xy 9.57491 0.213498) (xy 9.604493 0.174477) (xy 9.601795 0.141151) + (xy 9.596655 0.131998) (xy 9.561246 0.10314) (xy 9.511121 0.087725) (xy 9.466694 0.090695) + (xy 9.455416 0.097807) (xy 9.43953 0.139431) (xy 9.448897 0.190501) (xy 9.479001 0.23036) + (xy 9.485246 0.234214) (xy 9.523826 0.246141) (xy 9.558658 0.228714)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 9.120385 0.798519) (xy 9.198864 0.770324) (xy 9.262779 0.720503) (xy 9.303402 0.650505) + (xy 9.313334 0.586618) (xy 9.310123 0.544072) (xy 9.296708 0.505638) (xy 9.267417 0.462111) + (xy 9.216576 0.404289) (xy 9.172278 0.357776) (xy 9.031222 0.211667) (xy 9.3345 0.211667) + (xy 9.3345 0.084667) (xy 8.8265 0.084667) (xy 8.8265 0.14287) (xy 8.833722 0.176906) + (xy 8.858595 0.217911) (xy 8.905934 0.272036) (xy 8.980551 0.34543) (xy 8.997591 0.361481) + (xy 9.074093 0.434803) (xy 9.123572 0.487348) (xy 9.151107 0.525933) (xy 9.161779 0.557376) + (xy 9.161632 0.581602) (xy 9.145209 0.632916) (xy 9.120818 0.662441) (xy 9.069275 0.674644) + (xy 9.013189 0.661574) (xy 8.971755 0.62919) (xy 8.964068 0.613785) (xy 8.94091 0.582646) + (xy 8.891205 0.571746) (xy 8.87799 0.5715) (xy 8.829376 0.57295) (xy 8.809949 0.584173) + (xy 8.815063 0.615484) (xy 8.835416 0.666025) (xy 8.884858 0.73884) (xy 8.954653 0.784227) + (xy 9.036072 0.803637) (xy 9.120385 0.798519)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 8.305545 0.795592) (xy 8.370982 0.770459) (xy 8.43134 0.726403) (xy 8.474269 0.674499) + (xy 8.487834 0.632114) (xy 8.471154 0.602693) (xy 8.430082 0.592019) (xy 8.378064 0.599864) + (xy 8.328551 0.625998) (xy 8.3185 0.635) (xy 8.26281 0.669133) (xy 8.202506 0.674701) + (xy 8.153591 0.650602) (xy 8.150011 0.646601) (xy 8.128555 0.6046) (xy 8.141508 0.567815) + (xy 8.191433 0.533409) (xy 8.280893 0.498547) (xy 8.285124 0.49717) (xy 8.382413 0.4602) + (xy 8.445969 0.4205) (xy 8.4842 0.371287) (xy 8.501734 0.322381) (xy 8.500244 0.25158) + (xy 8.468949 0.179828) (xy 8.416244 0.124419) (xy 8.401237 0.115419) (xy 8.339438 0.096938) + (xy 8.254912 0.087608) (xy 8.166397 0.088047) (xy 8.092628 0.098873) (xy 8.075084 0.104677) + (xy 8.005349 0.154133) (xy 7.95686 0.230236) (xy 7.947438 0.259292) (xy 7.941077 0.2982) + (xy 7.955635 0.314249) (xy 8.001374 0.317493) (xy 8.006854 0.3175) (xy 8.06958 0.307173) + (xy 8.111454 0.270489) (xy 8.11547 0.264584) (xy 8.159416 0.225556) (xy 8.216385 0.209064) + (xy 8.273781 0.213824) (xy 8.319007 0.238555) (xy 8.339468 0.281974) (xy 8.339667 0.287406) + (xy 8.334663 0.315102) (xy 8.314 0.336537) (xy 8.269204 0.357146) (xy 8.1918 0.382362) + (xy 8.191388 0.382487) (xy 8.079922 0.424782) (xy 8.007331 0.473757) (xy 7.970279 0.532846) + (xy 7.965433 0.605479) (xy 7.966624 0.613827) (xy 7.999222 0.694797) (xy 8.063433 0.755837) + (xy 8.151607 0.792843) (xy 8.256094 0.801712) (xy 8.305545 0.795592)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.149639 0.502709) (xy 7.155528 0.211667) (xy 7.450667 0.211667) (xy 7.450667 0.084667) + (xy 7.227753 0.084667) (xy 7.118316 0.086331) (xy 7.04612 0.091711) (xy 7.006189 0.10139) + (xy 6.994344 0.112014) (xy 6.991076 0.141805) (xy 6.988994 0.205886) (xy 6.988202 0.296229) + (xy 6.988804 0.404805) (xy 6.989717 0.466556) (xy 6.995584 0.79375) (xy 7.14375 0.79375) + (xy 7.149639 0.502709)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 6.868584 0.79375) (xy 6.874387 0.439209) (xy 6.88019 0.084667) (xy 6.731 0.084667) + (xy 6.731 0.381) (xy 6.434667 0.381) (xy 6.434667 0.084667) (xy 6.26431 0.084667) + (xy 6.270113 0.439209) (xy 6.275917 0.79375) (xy 6.424084 0.79375) (xy 6.430342 0.650875) + (xy 6.436601 0.508) (xy 6.731 0.508) (xy 6.731 0.807016) (xy 6.868584 0.79375)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 5.854523 0.799464) (xy 5.972453 0.772765) (xy 6.062522 0.714527) (xy 6.123769 0.6259) + (xy 6.15523 0.508033) (xy 6.1595 0.434959) (xy 6.148502 0.320161) (xy 6.11196 0.229334) + (xy 6.044549 0.149256) (xy 6.044411 0.149127) (xy 5.975328 0.109263) (xy 5.883514 0.088464) + (xy 5.78448 0.087635) (xy 5.693734 0.107681) (xy 5.655352 0.126416) (xy 5.586078 0.192882) + (xy 5.536906 0.285676) (xy 5.510019 0.392769) (xy 5.509472 0.417522) (xy 5.663169 0.417522) + (xy 5.680643 0.332004) (xy 5.719446 0.266495) (xy 5.774275 0.226026) (xy 5.839826 0.215629) + (xy 5.910796 0.240337) (xy 5.941362 0.262751) (xy 5.975299 0.296926) (xy 5.993174 0.334302) + (xy 5.999949 0.389727) (xy 6.00075 0.440446) (xy 5.991829 0.542473) (xy 5.963089 0.609718) + (xy 5.911561 0.646232) (xy 5.842765 0.656167) (xy 5.761004 0.644066) (xy 5.707524 0.603944) + (xy 5.675258 0.53007) (xy 5.672328 0.518017) (xy 5.663169 0.417522) (xy 5.509472 0.417522) + (xy 5.507601 0.502136) (xy 5.531832 0.601751) (xy 5.560011 0.651753) (xy 5.645553 0.740694) + (xy 5.741921 0.789508) (xy 5.852026 0.799656) (xy 5.854523 0.799464)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 4.46789 0.79132) (xy 4.495946 0.767335) (xy 4.53222 0.722799) (xy 4.582316 0.652105) + (xy 4.625684 0.588379) (xy 4.773084 0.370417) (xy 4.783667 0.582084) (xy 4.79425 0.79375) + (xy 4.942417 0.79375) (xy 4.94822 0.439209) (xy 4.954024 0.084667) (xy 4.882392 0.084667) + (xy 4.850667 0.08694) (xy 4.823089 0.097645) (xy 4.793726 0.12261) (xy 4.756646 0.167664) + (xy 4.705917 0.238635) (xy 4.664922 0.298336) (xy 4.519084 0.512005) (xy 4.513051 0.298336) + (xy 4.507019 0.084667) (xy 4.433012 0.084667) (xy 4.380456 0.091771) (xy 4.349944 0.109248) + (xy 4.348511 0.112014) (xy 4.345243 0.141805) (xy 4.343161 0.205886) (xy 4.342368 0.296229) + (xy 4.342971 0.404805) (xy 4.343883 0.466556) (xy 4.34975 0.79375) (xy 4.414018 0.800046) + (xy 4.442449 0.800355) (xy 4.46789 0.79132)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 4.011165 0.78798) (xy 4.10401 0.768937) (xy 4.169347 0.734021) (xy 4.213906 0.680633) + (xy 4.223235 0.66274) (xy 4.247469 0.568978) (xy 4.233166 0.479447) (xy 4.181856 0.403526) + (xy 4.180766 0.402496) (xy 4.128847 0.35372) (xy 4.204079 0.219194) (xy 4.27931 0.084667) + (xy 4.194255 0.084667) (xy 4.148101 0.086753) (xy 4.116163 0.098543) (xy 4.08832 0.128334) + (xy 4.054454 0.184424) (xy 4.039381 0.211667) (xy 3.995843 0.284133) (xy 3.961064 0.324026) + (xy 3.928607 0.338281) (xy 3.921531 0.338667) (xy 3.894854 0.335255) (xy 3.880446 0.318437) + (xy 3.874574 0.278341) (xy 3.8735 0.211667) (xy 3.8735 0.084667) (xy 3.798753 0.084667) + (xy 3.745695 0.091727) (xy 3.71499 0.109176) (xy 3.713511 0.112014) (xy 3.710243 0.141805) + (xy 3.708161 0.205886) (xy 3.707368 0.296229) (xy 3.707971 0.404805) (xy 3.708869 0.465667) + (xy 3.8735 0.465667) (xy 3.953934 0.465667) (xy 4.013197 0.471986) (xy 4.055465 0.487543) + (xy 4.059767 0.491067) (xy 4.081945 0.537053) (xy 4.080705 0.591823) (xy 4.058709 0.630515) + (xy 4.021262 0.6487) (xy 3.963469 0.665088) (xy 3.952875 0.667212) (xy 3.8735 0.682045) + (xy 3.8735 0.465667) (xy 3.708869 0.465667) (xy 3.708883 0.466556) (xy 3.71475 0.79375) + (xy 3.884084 0.79375) (xy 4.011165 0.78798)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.598334 0.656167) (xy 3.280834 0.656167) (xy 3.280834 0.508) (xy 3.577167 0.508) + (xy 3.577167 0.381) (xy 3.280834 0.381) (xy 3.280834 0.211667) (xy 3.598334 0.211667) + (xy 3.598334 0.084667) (xy 3.110477 0.084667) (xy 3.11628 0.439209) (xy 3.122084 0.79375) + (xy 3.598334 0.80572) (xy 3.598334 0.656167)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.849452 0.771045) (xy 2.935522 0.711241) (xy 2.989877 0.633496) (xy 3.027003 0.560917) + (xy 2.95165 0.554277) (xy 2.891238 0.556982) (xy 2.848712 0.584301) (xy 2.833612 0.601902) + (xy 2.777365 0.643591) (xy 2.706063 0.658094) (xy 2.636099 0.644535) (xy 2.593694 0.614693) + (xy 2.563556 0.557486) (xy 2.546501 0.477395) (xy 2.544597 0.392412) (xy 2.559884 0.320597) + (xy 2.606825 0.253534) (xy 2.672098 0.219403) (xy 2.745482 0.219886) (xy 2.816756 0.256661) + (xy 2.836334 0.275167) (xy 2.887591 0.316393) (xy 2.939604 0.337538) (xy 2.981855 0.336951) + (xy 3.003833 0.312984) (xy 3.004917 0.301625) (xy 2.983686 0.220995) (xy 2.927836 0.155073) + (xy 2.843878 0.108555) (xy 2.738323 0.086133) (xy 2.704103 0.084807) (xy 2.629856 0.087619) + (xy 2.578716 0.100938) (xy 2.531597 0.131768) (xy 2.498946 0.160113) (xy 2.440019 0.223771) + (xy 2.406526 0.292696) (xy 2.393365 0.345322) (xy 2.38343 0.476598) (xy 2.406534 0.591858) + (xy 2.458995 0.68626) (xy 2.537132 0.754961) (xy 2.637264 0.793117) (xy 2.737369 0.797878) + (xy 2.849452 0.771045)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.332638 0.800519) (xy 0.391584 0.79375) (xy 0.397387 0.439209) (xy 0.40319 0.084667) + (xy 0.232834 0.084667) (xy 0.232834 0.639182) (xy 0.15875 0.595472) (xy 0.084667 0.551762) + (xy 0.084705 0.619839) (xy 0.090561 0.664841) (xy 0.114272 0.700229) (xy 0.165143 0.738582) + (xy 0.179217 0.747603) (xy 0.261518 0.788839) (xy 0.328533 0.80089) (xy 0.332638 0.800519)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.095627 0.790555) (xy -0.969464 0.782805) (xy -0.876063 0.761857) (xy -0.806848 0.723628) + (xy -0.753239 0.664032) (xy -0.723448 0.61335) (xy -0.684105 0.495223) (xy -0.684595 0.376705) + (xy -0.723168 0.265335) (xy -0.798072 0.168654) (xy -0.833601 0.138892) (xy -0.871363 0.116809) + (xy -0.920503 0.1023) (xy -0.991397 0.093317) (xy -1.092106 0.087898) (xy -1.292209 0.080545) + (xy -1.286396 0.437148) (xy -1.282826 0.656167) (xy -1.121833 0.656167) (xy -1.121833 0.433917) + (xy -1.121485 0.335018) (xy -1.119314 0.270912) (xy -1.113633 0.234058) (xy -1.102754 0.216916) + (xy -1.084987 0.211945) (xy -1.072075 0.211667) (xy -1.016192 0.218746) (xy -0.955212 0.23506) + (xy -0.89801 0.264581) (xy -0.864233 0.310252) (xy -0.848976 0.381515) (xy -0.846666 0.444071) + (xy -0.860685 0.544013) (xy -0.902806 0.61232) (xy -0.973131 0.649102) (xy -1.036204 0.656167) + (xy -1.121833 0.656167) (xy -1.282826 0.656167) (xy -1.280583 0.79375) (xy -1.095627 0.790555)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.207198 0.779339) (xy -2.136806 0.743796) (xy -2.106849 0.714337) (xy -2.073781 0.633133) + (xy -2.081711 0.543201) (xy -2.130366 0.445243) (xy -2.219475 0.339959) (xy -2.270916 0.291945) + (xy -2.360083 0.213472) (xy -2.206625 0.21257) (xy -2.053166 0.211667) (xy -2.053166 0.084667) + (xy -2.561166 0.084667) (xy -2.561166 0.140854) (xy -2.553035 0.175208) (xy -2.525557 0.21801) + (xy -2.474101 0.275096) (xy -2.394042 0.352301) (xy -2.391833 0.354354) (xy -2.312475 0.430469) + (xy -2.261166 0.486458) (xy -2.232932 0.528683) (xy -2.222795 0.5635) (xy -2.2225 0.570743) + (xy -2.232837 0.623505) (xy -2.253085 0.6552) (xy -2.305193 0.674585) (xy -2.36053 0.662316) + (xy -2.400356 0.62293) (xy -2.402144 0.619125) (xy -2.431945 0.583007) (xy -2.485792 0.571566) + (xy -2.492102 0.5715) (xy -2.54018 0.57634) (xy -2.558879 0.596857) (xy -2.561166 0.621531) + (xy -2.542474 0.67946) (xy -2.494199 0.73566) (xy -2.428049 0.779052) (xy -2.376836 0.795975) + (xy -2.292572 0.798354) (xy -2.207198 0.779339)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.137958 0.803816) (xy -2.978144 0.791832) (xy -2.853 0.756617) (xy -2.76107 0.697015) + (xy -2.7009 0.611868) (xy -2.671037 0.500017) (xy -2.667 0.428975) (xy -2.683531 0.30714) + (xy -2.733091 0.211763) (xy -2.81563 0.142884) (xy -2.931099 0.100544) (xy -3.079448 0.084781) + (xy -3.094818 0.084667) (xy -3.259666 0.084667) (xy -3.259666 0.656167) (xy -3.1115 0.656167) + (xy -3.1115 0.206162) (xy -3.013879 0.217165) (xy -2.941101 0.233567) (xy -2.884893 0.260937) + (xy -2.875618 0.268808) (xy -2.840152 0.327813) (xy -2.819938 0.408611) (xy -2.818939 0.492195) + (xy -2.823705 0.517973) (xy -2.860906 0.595605) (xy -2.925903 0.641525) (xy -3.01625 0.656167) + (xy -3.1115 0.656167) (xy -3.259666 0.656167) (xy -3.259666 0.804334) (xy -3.137958 0.803816)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.762375 0.803829) (xy -3.615923 0.795219) (xy -3.507027 0.770044) (xy -3.433907 0.72739) + (xy -3.394784 0.66634) (xy -3.386666 0.610527) (xy -3.404943 0.542684) (xy -3.438621 0.496455) + (xy -3.466771 0.461806) (xy -3.466765 0.445032) (xy -3.463068 0.4445) (xy -3.419896 0.425502) + (xy -3.383289 0.377092) (xy -3.361711 0.312151) (xy -3.359231 0.286504) (xy -3.369835 0.215823) + (xy -3.412131 0.155398) (xy -3.414643 0.15286) (xy -3.444101 0.126284) (xy -3.475219 0.108955) + (xy -3.518076 0.098439) (xy -3.582749 0.092305) (xy -3.679318 0.088118) (xy -3.683439 0.087975) + (xy -3.894666 0.0807) (xy -3.894666 0.306917) (xy -3.7465 0.306917) (xy -3.7465 0.211667) + (xy -3.655483 0.211667) (xy -3.593111 0.217254) (xy -3.547358 0.231223) (xy -3.539066 0.237067) + (xy -3.514818 0.28382) (xy -3.525796 0.331095) (xy -3.565671 0.371323) (xy -3.628117 0.396937) + (xy -3.677126 0.402167) (xy -3.719759 0.399848) (xy -3.739949 0.385214) (xy -3.74608 0.346752) + (xy -3.7465 0.306917) (xy -3.894666 0.306917) (xy -3.894666 0.592667) (xy -3.7465 0.592667) + (xy -3.744416 0.538473) (xy -3.730269 0.514392) (xy -3.692212 0.508203) (xy -3.664425 0.508) + (xy -3.603458 0.515639) (xy -3.560859 0.534706) (xy -3.556843 0.538735) (xy -3.537218 0.586065) + (xy -3.55434 0.628373) (xy -3.602628 0.660221) (xy -3.676496 0.676174) (xy -3.698875 0.677045) + (xy -3.729713 0.671648) (xy -3.743407 0.647223) (xy -3.7465 0.592667) (xy -3.894666 0.592667) + (xy -3.894666 0.804334) (xy -3.762375 0.803829)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.41325 0.480634) (xy -4.279492 0.642484) (xy -4.216833 0.716917) (xy -4.172215 0.764217) + (xy -4.137461 0.790484) (xy -4.10439 0.801822) (xy -4.064823 0.804331) (xy -4.062534 0.804334) + (xy -4.010036 0.801671) (xy -3.981223 0.795031) (xy -3.979333 0.792532) (xy -3.99209 0.772224) + (xy -4.026301 0.727569) (xy -4.07588 0.666366) (xy -4.105654 0.630662) (xy -4.159422 0.562792) + (xy -4.198671 0.505456) (xy -4.217972 0.467007) (xy -4.218327 0.457255) (xy -4.200865 0.429666) + (xy -4.166404 0.376778) (xy -4.121425 0.30852) (xy -4.106333 0.28575) (xy -4.059084 0.214225) + (xy -4.02003 0.154479) (xy -3.995811 0.116693) (xy -3.992411 0.111125) (xy -3.993949 0.093955) + (xy -4.028625 0.086111) (xy -4.068042 0.085108) (xy -4.11487 0.087047) (xy -4.148625 0.097247) + (xy -4.178977 0.123096) (xy -4.215596 0.171987) (xy -4.250654 0.224663) (xy -4.296809 0.293627) + (xy -4.327004 0.332283) (xy -4.348135 0.345955) (xy -4.367099 0.339966) (xy -4.382946 0.326775) + (xy -4.411626 0.283677) (xy -4.42318 0.215924) (xy -4.423833 0.18722) (xy -4.423833 0.084667) + (xy -4.573023 0.084667) (xy -4.56722 0.439209) (xy -4.561416 0.79375) (xy -4.42566 0.80688) + (xy -4.41325 0.480634)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.860444 0.78243) (xy -4.768802 0.745336) (xy -4.738433 0.723237) (xy -4.681774 0.646611) + (xy -4.661492 0.556807) (xy -4.679068 0.464618) (xy -4.697898 0.428098) (xy -4.752064 0.365931) + (xy -4.824334 0.330558) (xy -4.924128 0.317741) (xy -4.943794 0.3175) (xy -5.037666 0.3175) + (xy -5.037666 0.084667) (xy -5.208023 0.084667) (xy -5.20222 0.439209) (xy -5.202134 0.4445) + (xy -5.037666 0.4445) (xy -4.957233 0.4445) (xy -4.89797 0.450819) (xy -4.855702 0.466376) + (xy -4.8514 0.4699) (xy -4.830638 0.513813) (xy -4.827919 0.571143) (xy -4.843096 0.619769) + (xy -4.852458 0.630515) (xy -4.889904 0.6487) (xy -4.947698 0.665088) (xy -4.958291 0.667212) + (xy -5.037666 0.682045) (xy -5.037666 0.4445) (xy -5.202134 0.4445) (xy -5.196416 0.79375) + (xy -5.11175 0.800727) (xy -4.97791 0.801548) (xy -4.860444 0.78243)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.312833 0.656167) (xy -5.630333 0.656167) (xy -5.630333 0.508) (xy -5.334 0.508) + (xy -5.334 0.381) (xy -5.630333 0.381) (xy -5.630333 0.211667) (xy -5.312833 0.211667) + (xy -5.312833 0.084667) (xy -5.80069 0.084667) (xy -5.794887 0.439209) (xy -5.789083 0.79375) + (xy -5.312833 0.80572) (xy -5.312833 0.656167)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.254625 0.793167) (xy -6.127825 0.78718) (xy -6.035326 0.76848) (xy -5.970319 0.734446) + (xy -5.925992 0.682459) (xy -5.915599 0.66274) (xy -5.891342 0.568813) (xy -5.905839 0.479166) + (xy -5.95758 0.402959) (xy -5.958582 0.402012) (xy -6.011016 0.352752) (xy -5.936055 0.21871) + (xy -5.861095 0.084667) (xy -5.945967 0.084667) (xy -5.992075 0.086771) (xy -6.023989 0.098621) + (xy -6.051838 0.128529) (xy -6.085752 0.184805) (xy -6.100462 0.211416) (xy -6.144276 0.284206) + (xy -6.179424 0.324182) (xy -6.212181 0.338142) (xy -6.217708 0.338416) (xy -6.244163 0.33509) + (xy -6.258453 0.318192) (xy -6.264275 0.27788) (xy -6.265333 0.211667) (xy -6.265333 0.084667) + (xy -6.43569 0.084667) (xy -6.429887 0.439209) (xy -6.429454 0.465667) (xy -6.265333 0.465667) + (xy -6.1849 0.465667) (xy -6.125637 0.471986) (xy -6.083368 0.487543) (xy -6.079066 0.491067) + (xy -6.056889 0.537053) (xy -6.058128 0.591823) (xy -6.080125 0.630515) (xy -6.117571 0.6487) + (xy -6.175365 0.665088) (xy -6.185958 0.667212) (xy -6.265333 0.682045) (xy -6.265333 0.465667) + (xy -6.429454 0.465667) (xy -6.424083 0.79375) (xy -6.254625 0.793167)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.861626 0.799551) (xy -6.728651 0.78472) (xy -6.632229 0.755445) (xy -6.568076 0.708435) + (xy -6.531909 0.640399) (xy -6.519442 0.548047) (xy -6.519333 0.536638) (xy -6.529233 0.474902) + (xy -6.564482 0.418281) (xy -6.5913 0.389467) (xy -6.63842 0.347121) (xy -6.68177 0.32564) + (xy -6.739552 0.318149) (xy -6.7818 0.3175) (xy -6.900333 0.3175) (xy -6.900333 0.084667) + (xy -7.0485 0.084667) (xy -7.0485 0.560917) (xy -6.900333 0.560917) (xy -6.900333 0.4445) + (xy -6.816154 0.4445) (xy -6.751965 0.451618) (xy -6.711876 0.477686) (xy -6.699175 0.49456) + (xy -6.677791 0.558145) (xy -6.694185 0.614777) (xy -6.743913 0.654446) (xy -6.774323 0.663774) + (xy -6.843671 0.675553) (xy -6.881347 0.670278) (xy -6.897007 0.640066) (xy -6.900307 0.577035) + (xy -6.900333 0.560917) (xy -7.0485 0.560917) (xy -7.0485 0.810206) (xy -6.861626 0.799551)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.7971 0.797961) (xy -7.756929 0.782204) (xy -7.752395 0.777875) (xy -7.736119 0.747945) + (xy -7.708832 0.688177) (xy -7.674807 0.608208) (xy -7.649261 0.545352) (xy -7.614303 0.460469) + (xy -7.584207 0.39251) (xy -7.56274 0.349678) (xy -7.554552 0.338977) (xy -7.541575 0.357088) + (xy -7.51843 0.405379) (xy -7.489598 0.47431) (xy -7.482616 0.492125) (xy -7.436162 0.610047) + (xy -7.400024 0.693923) (xy -7.370096 0.749506) (xy -7.342268 0.782551) (xy -7.312435 0.798811) + (xy -7.276487 0.804041) (xy -7.259736 0.804334) (xy -7.1755 0.804334) (xy -7.1755 0.084667) + (xy -7.322186 0.084667) (xy -7.328218 0.301625) (xy -7.33425 0.518584) (xy -7.418916 0.300824) + (xy -7.456824 0.205315) (xy -7.484517 0.142957) (xy -7.506271 0.107127) (xy -7.52636 0.091197) + (xy -7.549055 0.088544) (xy -7.555453 0.089157) (xy -7.580441 0.096936) (xy -7.60351 0.118348) + (xy -7.628856 0.160144) (xy -7.660673 0.229076) (xy -7.697338 0.3175) (xy -7.787355 0.53975) + (xy -7.788344 0.312209) (xy -7.789333 0.084667) (xy -7.9375 0.084667) (xy -7.9375 0.804334) + (xy -7.855425 0.804334) (xy -7.7971 0.797961)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 10.018649 0.797181) (xy 10.108703 0.763691) (xy 10.181337 0.700707) (xy 10.215513 0.642634) + (xy 10.232919 0.57908) (xy 10.244469 0.494946) (xy 10.247313 0.433181) (xy 10.233431 0.299432) + (xy 10.192612 0.194222) (xy 10.126091 0.120169) (xy 10.089065 0.098163) (xy 9.998531 0.067888) + (xy 9.915843 0.072153) (xy 9.843953 0.100575) (xy 9.764982 0.163912) (xy 9.713008 0.258949) + (xy 9.688692 0.384246) (xy 9.68687 0.443981) (xy 9.690011 0.476363) (xy 9.844122 0.476363) + (xy 9.846604 0.415495) (xy 9.853604 0.332937) (xy 9.8657 0.280578) (xy 9.886639 0.246308) + (xy 9.903889 0.230287) (xy 9.949014 0.198494) (xy 9.982879 0.195631) (xy 10.024478 0.221794) + (xy 10.035947 0.230964) (xy 10.061968 0.256666) (xy 10.07704 0.288877) (xy 10.084055 0.339104) + (xy 10.085905 0.418857) (xy 10.085917 0.429825) (xy 10.083494 0.519578) (xy 10.074732 0.578477) + (xy 10.057388 0.617803) (xy 10.045595 0.632778) (xy 9.992473 0.671303) (xy 9.939948 0.667774) + (xy 9.886705 0.622079) (xy 9.884346 0.619125) (xy 9.859439 0.580868) (xy 9.846885 0.537892) + (xy 9.844122 0.476363) (xy 9.690011 0.476363) (xy 9.699397 0.573119) (xy 9.73579 0.670938) + (xy 9.798289 0.742512) (xy 9.827653 0.762857) (xy 9.921518 0.797972) (xy 10.018649 0.797181)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.209432 0.789213) (xy -0.171177 0.773538) (xy -0.102379 0.715734) (xy -0.054161 0.630511) + (xy -0.026863 0.527629) (xy -0.020821 0.416848) (xy -0.036373 0.307928) (xy -0.073858 0.21063) + (xy -0.133612 0.134712) (xy -0.148663 0.122661) (xy -0.236807 0.082051) (xy -0.336108 0.073564) + (xy -0.417625 0.093873) (xy -0.4905 0.148291) (xy -0.544036 0.231182) (xy -0.577162 0.332833) + (xy -0.586437 0.420994) (xy -0.423333 0.420994) (xy -0.420324 0.343626) (xy -0.408367 0.293252) + (xy -0.38307 0.25478) (xy -0.371379 0.242455) (xy -0.322967 0.201629) (xy -0.285312 0.194734) + (xy -0.245344 0.220706) (xy -0.234359 0.231308) (xy -0.198278 0.292222) (xy -0.179177 0.376415) + (xy -0.176768 0.470065) (xy -0.190762 0.559352) (xy -0.220869 0.630453) (xy -0.243239 0.65602) + (xy -0.292659 0.673033) (xy -0.347792 0.659761) (xy -0.392039 0.621894) (xy -0.402915 0.601281) + (xy -0.414171 0.550563) (xy -0.421587 0.476669) (xy -0.423333 0.420994) (xy -0.586437 0.420994) + (xy -0.588808 0.443528) (xy -0.577904 0.553555) (xy -0.543379 0.653198) (xy -0.487638 0.72948) + (xy -0.405692 0.780829) (xy -0.307849 0.801451) (xy -0.209432 0.789213)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.623017 0.797181) (xy -1.532964 0.763691) (xy -1.46033 0.700707) (xy -1.426153 0.642634) + (xy -1.408748 0.57908) (xy -1.397197 0.494946) (xy -1.394354 0.433181) (xy -1.408235 0.299432) + (xy -1.449055 0.194222) (xy -1.515575 0.120169) (xy -1.552601 0.098163) (xy -1.643136 0.067888) + (xy -1.725824 0.072153) (xy -1.797714 0.100575) (xy -1.876685 0.163912) (xy -1.928658 0.258949) + (xy -1.952974 0.384246) (xy -1.954797 0.443981) (xy -1.951656 0.476363) (xy -1.797544 0.476363) + (xy -1.795063 0.415495) (xy -1.788063 0.332937) (xy -1.775967 0.280578) (xy -1.755028 0.246308) + (xy -1.737777 0.230287) (xy -1.692653 0.198494) (xy -1.658787 0.195631) (xy -1.617189 0.221794) + (xy -1.60572 0.230964) (xy -1.579699 0.256666) (xy -1.564626 0.288877) (xy -1.557612 0.339104) + (xy -1.555762 0.418857) (xy -1.55575 0.429825) (xy -1.558173 0.519578) (xy -1.566935 0.578477) + (xy -1.584278 0.617803) (xy -1.596072 0.632778) (xy -1.649194 0.671303) (xy -1.701718 0.667774) + (xy -1.754962 0.622079) (xy -1.75732 0.619125) (xy -1.782228 0.580868) (xy -1.794781 0.537892) + (xy -1.797544 0.476363) (xy -1.951656 0.476363) (xy -1.94227 0.573119) (xy -1.905877 0.670938) + (xy -1.843378 0.742512) (xy -1.814014 0.762857) (xy -1.720148 0.797972) (xy -1.623017 0.797181)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.4925 -0.5715) (xy -3.81 -0.5715) (xy -3.81 -0.4445) (xy -3.4925 -0.4445) + (xy -3.4925 -0.5715)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.316692 -0.455693) (xy -5.268913 -0.459707) (xy -5.244857 -0.470398) (xy -5.235218 -0.491039) + (xy -5.232052 -0.513291) (xy -5.225355 -0.5715) (xy -5.569645 -0.5715) (xy -5.562947 -0.513291) + (xy -5.557876 -0.483688) (xy -5.544934 -0.466338) (xy -5.514814 -0.457968) (xy -5.458212 -0.455305) + (xy -5.3975 -0.455083) (xy -5.316692 -0.455693)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.587034 -0.15613) (xy -2.504453 -0.190996) (xy -2.440447 -0.253282) (xy -2.419933 -0.29122) + (xy -2.400666 -0.346661) (xy -2.40167 -0.388942) (xy -2.422129 -0.439806) (xy -2.454255 -0.491722) + (xy -2.505527 -0.558732) (xy -2.564765 -0.626241) (xy -2.673141 -0.740833) (xy -2.391833 -0.740833) + (xy -2.391833 -0.867833) (xy -2.899833 -0.867833) (xy -2.899833 -0.815572) (xy -2.888714 -0.779147) + (xy -2.853117 -0.728312) (xy -2.789684 -0.65881) (xy -2.727854 -0.597673) (xy -2.648191 -0.518579) + (xy -2.596243 -0.460333) (xy -2.567218 -0.416658) (xy -2.556328 -0.381281) (xy -2.555875 -0.37236) + (xy -2.565391 -0.322122) (xy -2.584979 -0.293734) (xy -2.640428 -0.278299) (xy -2.697876 -0.291401) + (xy -2.738271 -0.328191) (xy -2.740811 -0.333375) (xy -2.770612 -0.369493) (xy -2.824459 -0.380934) + (xy -2.830769 -0.381) (xy -2.883234 -0.369586) (xy -2.900404 -0.33692) (xy -2.882119 -0.285368) + (xy -2.840938 -0.231086) (xy -2.765804 -0.174671) (xy -2.67766 -0.150187) (xy -2.587034 -0.15613)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.069166 -0.867833) (xy -3.217333 -0.867833) (xy -3.217333 -0.592666) (xy -3.218478 -0.490358) + (xy -3.221611 -0.405365) (xy -3.226279 -0.34553) (xy -3.232029 -0.318692) (xy -3.233208 -0.31797) + (xy -3.259481 -0.330168) (xy -3.300868 -0.35895) (xy -3.302 -0.359833) (xy -3.350765 -0.393458) + (xy -3.376477 -0.395317) (xy -3.385919 -0.363679) (xy -3.386666 -0.3382) (xy -3.380288 -0.295849) + (xy -3.355227 -0.260589) (xy -3.302596 -0.221064) (xy -3.287272 -0.2112) (xy -3.220171 -0.175366) + (xy -3.157051 -0.152531) (xy -3.128522 -0.148166) (xy -3.069166 -0.148166) (xy -3.069166 -0.867833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.183124 -0.152468) (xy -4.175493 -0.152687) (xy -3.96875 -0.15875) (xy -3.962144 -0.216004) + (xy -3.960304 -0.245457) (xy -3.969002 -0.262971) (xy -3.996881 -0.272249) (xy -4.052581 -0.276994) + (xy -4.110311 -0.279504) (xy -4.265083 -0.28575) (xy -4.271532 -0.352072) (xy -4.27798 -0.418394) + (xy -4.181988 -0.407574) (xy -4.082596 -0.41201) (xy -4.006672 -0.451362) (xy -3.952028 -0.526876) + (xy -3.945208 -0.54217) (xy -3.926563 -0.634185) (xy -3.943089 -0.723273) (xy -3.991301 -0.797473) + (xy -4.028034 -0.826386) (xy -4.102073 -0.854883) (xy -4.191624 -0.866622) (xy -4.277505 -0.860525) + (xy -4.328583 -0.843265) (xy -4.384637 -0.799818) (xy -4.427333 -0.744499) (xy -4.444529 -0.693208) + (xy -4.434081 -0.667145) (xy -4.395498 -0.656904) (xy -4.370916 -0.656166) (xy -4.321948 -0.66136) + (xy -4.297472 -0.674132) (xy -4.296833 -0.676845) (xy -4.278676 -0.70762) (xy -4.235629 -0.734811) + (xy -4.184834 -0.749762) (xy -4.154815 -0.748574) (xy -4.111807 -0.717957) (xy -4.085012 -0.662841) + (xy -4.081143 -0.599976) (xy -4.086703 -0.578543) (xy -4.123867 -0.531877) (xy -4.183915 -0.514738) + (xy -4.254051 -0.52898) (xy -4.314665 -0.545026) (xy -4.363615 -0.546777) (xy -4.388359 -0.540908) + (xy -4.402819 -0.525942) (xy -4.409374 -0.492812) (xy -4.410406 -0.432449) (xy -4.409213 -0.373076) + (xy -4.405896 -0.290052) (xy -4.400781 -0.221577) (xy -4.394867 -0.18031) (xy -4.393706 -0.176513) + (xy -4.379063 -0.162376) (xy -4.343769 -0.154167) (xy -4.280798 -0.15112) (xy -4.183124 -0.152468)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.736166 -0.867833) (xy -5.884333 -0.867833) (xy -5.884333 -0.592666) (xy -5.885298 -0.490305) + (xy -5.887939 -0.405209) (xy -5.891874 -0.345237) (xy -5.896721 -0.31825) (xy -5.89771 -0.3175) + (xy -5.922681 -0.327665) (xy -5.968168 -0.352938) (xy -5.982376 -0.361559) (xy -6.053666 -0.405619) + (xy -6.053645 -0.335101) (xy -6.0492 -0.291576) (xy -6.029716 -0.259466) (xy -5.985919 -0.227254) + (xy -5.949984 -0.206375) (xy -5.881731 -0.173489) (xy -5.819301 -0.152384) (xy -5.791255 -0.148166) + (xy -5.736166 -0.148166) (xy -5.736166 -0.867833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.353309 -0.155614) (xy -6.274638 -0.182827) (xy -6.210472 -0.231227) (xy -6.169594 -0.299424) + (xy -6.1595 -0.36222) (xy -6.167231 -0.411585) (xy -6.193567 -0.465237) (xy -6.243224 -0.530485) + (xy -6.320919 -0.614637) (xy -6.325286 -0.619125) (xy -6.443925 -0.740833) (xy -6.138333 -0.740833) + (xy -6.138333 -0.867833) (xy -6.646333 -0.867833) (xy -6.646579 -0.809625) (xy -6.63955 -0.775585) + (xy -6.614959 -0.734729) (xy -6.567965 -0.680839) (xy -6.493727 -0.607697) (xy -6.477245 -0.592146) + (xy -6.388288 -0.503582) (xy -6.332151 -0.434193) (xy -6.306588 -0.379436) (xy -6.309352 -0.334768) + (xy -6.333066 -0.300566) (xy -6.383815 -0.275289) (xy -6.442187 -0.279201) (xy -6.489954 -0.309334) + (xy -6.502018 -0.328083) (xy -6.53122 -0.367071) (xy -6.579842 -0.380543) (xy -6.596814 -0.381) + (xy -6.644223 -0.37952) (xy -6.663037 -0.368212) (xy -6.657683 -0.336772) (xy -6.637417 -0.286475) + (xy -6.58854 -0.21502) (xy -6.519042 -0.170319) (xy -6.437704 -0.150981) (xy -6.353309 -0.155614)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.608836 -0.160706) (xy -7.561184 -0.170237) (xy -7.525272 -0.192832) (xy -7.491264 -0.227875) + (xy -7.446844 -0.288644) (xy -7.428796 -0.347232) (xy -7.438822 -0.40957) (xy -7.478622 -0.481592) + (xy -7.549897 -0.569228) (xy -7.606481 -0.629708) (xy -7.713804 -0.740833) (xy -7.4295 -0.740833) + (xy -7.4295 -0.867833) (xy -7.6835 -0.867833) (xy -7.790557 -0.867508) (xy -7.862329 -0.865676) + (xy -7.905861 -0.861056) (xy -7.9282 -0.852363) (xy -7.936394 -0.838316) (xy -7.9375 -0.821585) + (xy -7.921554 -0.783003) (xy -7.875212 -0.720331) (xy -7.800716 -0.636435) (xy -7.757583 -0.591477) + (xy -7.687929 -0.51791) (xy -7.630826 -0.453055) (xy -7.592124 -0.40388) (xy -7.577669 -0.377348) + (xy -7.577666 -0.377187) (xy -7.594645 -0.323053) (xy -7.636653 -0.288793) (xy -7.690301 -0.27733) + (xy -7.742199 -0.291585) (xy -7.778477 -0.333375) (xy -7.808279 -0.369493) (xy -7.862126 -0.380934) + (xy -7.868436 -0.381) (xy -7.920914 -0.369697) (xy -7.93794 -0.337145) (xy -7.919415 -0.285373) + (xy -7.875736 -0.227875) (xy -7.837526 -0.189244) (xy -7.801011 -0.168509) (xy -7.750919 -0.160179) + (xy -7.6835 -0.15875) (xy -7.608836 -0.160706)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.763461 -0.162063) (xy -4.680626 -0.201046) (xy -4.613429 -0.268881) (xy -4.571984 -0.35863) + (xy -4.551489 -0.484405) (xy -4.558904 -0.601285) (xy -4.590428 -0.704315) (xy -4.642257 -0.788544) + (xy -4.71059 -0.849019) (xy -4.791625 -0.880787) (xy -4.881559 -0.878896) (xy -4.951495 -0.852971) + (xy -5.027302 -0.801572) (xy -5.076945 -0.736063) (xy -5.105727 -0.646871) (xy -5.117159 -0.553989) + (xy -5.117611 -0.542449) (xy -4.963988 -0.542449) (xy -4.947629 -0.653193) (xy -4.939427 -0.683995) + (xy -4.908531 -0.729058) (xy -4.859147 -0.74583) (xy -4.803727 -0.734917) (xy -4.754718 -0.696924) + (xy -4.739702 -0.674284) (xy -4.7245 -0.620496) (xy -4.717302 -0.542426) (xy -4.718101 -0.457358) + (xy -4.726889 -0.382579) (xy -4.739827 -0.34148) (xy -4.780411 -0.300189) (xy -4.835992 -0.28096) + (xy -4.888622 -0.288836) (xy -4.900206 -0.296435) (xy -4.939206 -0.353487) (xy -4.960823 -0.439019) + (xy -4.963988 -0.542449) (xy -5.117611 -0.542449) (xy -5.120674 -0.464357) (xy -5.114122 -0.398707) + (xy -5.094932 -0.339356) (xy -5.081785 -0.310604) (xy -5.021097 -0.226202) (xy -4.942167 -0.173843) + (xy -4.853465 -0.152729) (xy -4.763461 -0.162063)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.011633 -0.153973) (xy -6.910786 -0.183877) (xy -6.834871 -0.247951) (xy -6.784047 -0.346038) + (xy -6.771347 -0.392191) (xy -6.756749 -0.522123) (xy -6.770507 -0.642066) (xy -6.81004 -0.744521) + (xy -6.872767 -0.821993) (xy -6.931867 -0.858523) (xy -7.008513 -0.883809) (xy -7.071455 -0.883731) + (xy -7.141335 -0.857672) (xy -7.152829 -0.851925) (xy -7.231647 -0.798786) (xy -7.28276 -0.729648) + (xy -7.311114 -0.635644) (xy -7.319951 -0.552459) (xy -7.318979 -0.526792) (xy -7.168992 -0.526792) + (xy -7.158767 -0.617715) (xy -7.131714 -0.692678) (xy -7.110474 -0.721192) (xy -7.068104 -0.754632) + (xy -7.031077 -0.755452) (xy -6.986323 -0.722588) (xy -6.973454 -0.710045) (xy -6.942935 -0.672193) + (xy -6.927168 -0.627293) (xy -6.92176 -0.560252) (xy -6.9215 -0.531506) (xy -6.924905 -0.452767) + (xy -6.933678 -0.383718) (xy -6.941918 -0.351219) (xy -6.977229 -0.305159) (xy -7.030024 -0.281433) + (xy -7.083702 -0.28573) (xy -7.101594 -0.29648) (xy -7.140117 -0.352354) (xy -7.162679 -0.433732) + (xy -7.168992 -0.526792) (xy -7.318979 -0.526792) (xy -7.314629 -0.411958) (xy -7.282485 -0.29933) + (xy -7.225305 -0.216884) (xy -7.144874 -0.166924) (xy -7.042977 -0.15176) (xy -7.011633 -0.153973)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 9.6036 -1.125965) (xy 9.703367 -1.132416) (xy 9.788808 -1.349243) (xy 9.824237 -1.436523) + (xy 9.854829 -1.507035) (xy 9.876982 -1.552775) (xy 9.886471 -1.566201) (xy 9.898839 -1.547889) + (xy 9.923193 -1.498062) (xy 9.955895 -1.42455) (xy 9.989672 -1.344083) (xy 10.080654 -1.121833) + (xy 10.265834 -1.121833) (xy 10.265834 -1.820333) (xy 10.117667 -1.820333) (xy 10.116476 -1.603375) + (xy 10.115286 -1.386416) (xy 10.027915 -1.604405) (xy 9.988971 -1.699725) (xy 9.960623 -1.762038) + (xy 9.938492 -1.797933) (xy 9.918199 -1.814) (xy 9.895366 -1.816829) (xy 9.887277 -1.816071) + (xy 9.861902 -1.808585) (xy 9.838927 -1.788233) (xy 9.814085 -1.748204) (xy 9.783105 -1.681688) + (xy 9.743995 -1.5875) (xy 9.653979 -1.36525) (xy 9.652989 -1.592791) (xy 9.652 -1.820333) + (xy 9.503834 -1.820333) (xy 9.503834 -1.119513) (xy 9.6036 -1.125965)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.62 -1.248833) (xy 7.281334 -1.248833) (xy 7.281334 -1.395145) (xy 7.434792 -1.401364) + (xy 7.514006 -1.405364) (xy 7.560373 -1.411858) (xy 7.583361 -1.424603) (xy 7.592438 -1.447351) + (xy 7.594856 -1.464837) (xy 7.596696 -1.494291) (xy 7.587998 -1.511804) (xy 7.560119 -1.521082) + (xy 7.504419 -1.525828) (xy 7.446689 -1.528337) (xy 7.291917 -1.534583) (xy 7.285347 -1.613958) + (xy 7.278778 -1.693333) (xy 7.62 -1.693333) (xy 7.62 -1.820333) (xy 7.133167 -1.820333) + (xy 7.133167 -1.121833) (xy 7.62 -1.121833) (xy 7.62 -1.248833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 6.795615 -1.122479) (xy 6.858483 -1.126047) (xy 6.899943 -1.134984) (xy 6.930457 -1.151736) + (xy 6.960486 -1.178749) (xy 6.965462 -1.183705) (xy 7.015595 -1.261367) (xy 7.030518 -1.351285) + (xy 7.009932 -1.44177) (xy 6.973553 -1.499999) (xy 6.919773 -1.563913) (xy 6.984136 -1.67796) + (xy 7.017949 -1.739998) (xy 7.041259 -1.786832) (xy 7.0485 -1.806169) (xy 7.029787 -1.815264) + (xy 6.98339 -1.820053) (xy 6.969125 -1.820272) (xy 6.924762 -1.817654) (xy 6.893902 -1.804099) + (xy 6.866365 -1.770937) (xy 6.831973 -1.709497) (xy 6.82625 -1.698564) (xy 6.787129 -1.629717) + (xy 6.755651 -1.592206) (xy 6.724064 -1.577933) (xy 6.709834 -1.576916) (xy 6.679828 -1.580132) + (xy 6.663141 -1.596522) (xy 6.654847 -1.636199) (xy 6.650569 -1.698625) (xy 6.644221 -1.820333) + (xy 6.498167 -1.820333) (xy 6.498167 -1.248833) (xy 6.646334 -1.248833) (xy 6.646334 -1.439333) + (xy 6.73735 -1.439333) (xy 6.799722 -1.433746) (xy 6.845476 -1.419777) (xy 6.853767 -1.413933) + (xy 6.874973 -1.372667) (xy 6.879167 -1.344083) (xy 6.865103 -1.289982) (xy 6.82041 -1.259077) + (xy 6.741332 -1.248848) (xy 6.73735 -1.248833) (xy 6.646334 -1.248833) (xy 6.498167 -1.248833) + (xy 6.498167 -1.121833) (xy 6.700878 -1.121833) (xy 6.795615 -1.122479)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 6.4135 -1.185333) (xy 6.411188 -1.222923) (xy 6.396971 -1.241668) (xy 6.35993 -1.24812) + (xy 6.307667 -1.248833) (xy 6.201834 -1.248833) (xy 6.201834 -1.820333) (xy 6.0325 -1.820333) + (xy 6.0325 -1.251152) (xy 5.931959 -1.244701) (xy 5.870626 -1.238456) (xy 5.839718 -1.225265) + (xy 5.827325 -1.19795) (xy 5.824719 -1.180041) (xy 5.818022 -1.121833) (xy 6.4135 -1.121833) + (xy 6.4135 -1.185333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 5.244696 -1.124267) (xy 5.272146 -1.135439) (xy 5.301776 -1.161154) (xy 5.339437 -1.207218) + (xy 5.390981 -1.279435) (xy 5.430531 -1.337035) (xy 5.577417 -1.552238) (xy 5.583449 -1.337035) + (xy 5.589481 -1.121833) (xy 5.736167 -1.121833) (xy 5.736167 -1.820333) (xy 5.667375 -1.819913) + (xy 5.636686 -1.817101) (xy 5.609175 -1.805223) (xy 5.579053 -1.778492) (xy 5.540533 -1.731118) + (xy 5.487827 -1.657315) (xy 5.450417 -1.602955) (xy 5.30225 -1.386416) (xy 5.296218 -1.603375) + (xy 5.290186 -1.820333) (xy 5.1435 -1.820333) (xy 5.1435 -1.121833) (xy 5.213572 -1.121833) + (xy 5.244696 -1.124267)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 4.353206 -1.125965) (xy 4.451911 -1.132416) (xy 4.642491 -1.591438) (xy 4.736445 -1.356635) + (xy 4.830399 -1.121833) (xy 5.0165 -1.121833) (xy 5.0165 -1.820333) (xy 4.868334 -1.820333) + (xy 4.867143 -1.603375) (xy 4.865953 -1.386416) (xy 4.778581 -1.604405) (xy 4.739638 -1.699725) + (xy 4.71129 -1.762038) (xy 4.689159 -1.797933) (xy 4.668866 -1.814) (xy 4.646033 -1.816829) + (xy 4.637944 -1.816071) (xy 4.612568 -1.808585) (xy 4.589594 -1.788233) (xy 4.564751 -1.748204) + (xy 4.533771 -1.681688) (xy 4.494662 -1.5875) (xy 4.404645 -1.36525) (xy 4.403656 -1.592791) + (xy 4.402667 -1.820333) (xy 4.2545 -1.820333) (xy 4.2545 -1.119513) (xy 4.353206 -1.125965)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.434167 -1.397) (xy 2.7305 -1.397) (xy 2.7305 -1.121833) (xy 2.899834 -1.121833) + (xy 2.899834 -1.820333) (xy 2.7305 -1.820333) (xy 2.7305 -1.524) (xy 2.434167 -1.524) + (xy 2.434167 -1.820333) (xy 2.286 -1.820333) (xy 2.286 -1.121833) (xy 2.434167 -1.121833) + (xy 2.434167 -1.397)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.948615 -1.122695) (xy 2.012046 -1.126668) (xy 2.053517 -1.135837) (xy 2.083211 -1.152282) + (xy 2.107046 -1.173787) (xy 2.150261 -1.241321) (xy 2.159578 -1.315255) (xy 2.135083 -1.383169) + (xy 2.105342 -1.414968) (xy 2.070144 -1.444473) (xy 2.066681 -1.459211) (xy 2.093241 -1.470363) + (xy 2.096691 -1.471461) (xy 2.144179 -1.506501) (xy 2.17592 -1.567689) (xy 2.186459 -1.640112) + (xy 2.177401 -1.692169) (xy 2.147564 -1.747249) (xy 2.098812 -1.785114) (xy 2.024831 -1.808179) + (xy 1.919311 -1.81886) (xy 1.842551 -1.820333) (xy 1.651 -1.820333) (xy 1.651 -1.695652) + (xy 1.799167 -1.695652) (xy 1.89754 -1.689201) (xy 1.978417 -1.674632) (xy 2.01914 -1.645708) + (xy 2.032109 -1.596945) (xy 2.006684 -1.558839) (xy 1.946141 -1.534553) (xy 1.89754 -1.528131) + (xy 1.799167 -1.52168) (xy 1.799167 -1.695652) (xy 1.651 -1.695652) (xy 1.651 -1.322916) + (xy 1.799167 -1.322916) (xy 1.801885 -1.370861) (xy 1.818296 -1.391791) (xy 1.860788 -1.396887) + (xy 1.881242 -1.397) (xy 1.942209 -1.389361) (xy 1.984808 -1.370294) (xy 1.988824 -1.366265) + (xy 2.003835 -1.322408) (xy 2.000573 -1.292182) (xy 1.98314 -1.264141) (xy 1.946311 -1.251382) + (xy 1.89299 -1.248833) (xy 1.835034 -1.250488) (xy 1.807802 -1.261565) (xy 1.799688 -1.291229) + (xy 1.799167 -1.322916) (xy 1.651 -1.322916) (xy 1.651 -1.121833) (xy 1.853046 -1.121833) + (xy 1.948615 -1.122695)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.524 -1.820333) (xy 1.377314 -1.820333) (xy 1.371282 -1.603375) (xy 1.36525 -1.386416) + (xy 1.280621 -1.603375) (xy 1.242343 -1.699201) (xy 1.21404 -1.761994) (xy 1.191392 -1.798567) + (xy 1.170077 -1.815734) (xy 1.145773 -1.820306) (xy 1.143 -1.820333) (xy 1.118157 -1.816649) + (xy 1.096737 -1.801055) (xy 1.074418 -1.766738) (xy 1.046878 -1.706884) (xy 1.009796 -1.614683) + (xy 1.005379 -1.603375) (xy 0.92075 -1.386416) (xy 0.914718 -1.603375) (xy 0.908686 -1.820333) + (xy 0.762 -1.820333) (xy 0.762 -1.119513) (xy 0.859932 -1.125965) (xy 0.957864 -1.132416) + (xy 1.043337 -1.349375) (xy 1.078795 -1.436673) (xy 1.109462 -1.507185) (xy 1.131726 -1.552917) + (xy 1.141338 -1.566333) (xy 1.153807 -1.54795) (xy 1.178039 -1.498102) (xy 1.210358 -1.424742) + (xy 1.24163 -1.349375) (xy 1.329394 -1.132416) (xy 1.426697 -1.125965) (xy 1.524 -1.119513) + (xy 1.524 -1.820333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.762 -1.397) (xy -0.465666 -1.397) (xy -0.465666 -1.121833) (xy -0.3175 -1.121833) + (xy -0.3175 -1.820333) (xy -0.463732 -1.820333) (xy -0.47625 -1.534583) (xy -0.762 -1.522065) + (xy -0.762 -1.820333) (xy -0.931333 -1.820333) (xy -0.931333 -1.121833) (xy -0.762 -1.121833) + (xy -0.762 -1.397)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.989733 -1.12291) (xy -1.925127 -1.127479) (xy -1.881727 -1.137549) (xy -1.848982 -1.155129) + (xy -1.829379 -1.170703) (xy -1.790433 -1.215842) (xy -1.769361 -1.275895) (xy -1.762157 -1.327874) + (xy -1.75849 -1.395935) (xy -1.767383 -1.439996) (xy -1.793899 -1.477723) (xy -1.80985 -1.494274) + (xy -1.86795 -1.552374) (xy -1.807552 -1.670478) (xy -1.774758 -1.734785) (xy -1.749968 -1.783724) + (xy -1.739646 -1.804458) (xy -1.753489 -1.814254) (xy -1.797186 -1.819838) (xy -1.818265 -1.820333) + (xy -1.86504 -1.81843) (xy -1.89655 -1.807059) (xy -1.922844 -1.777724) (xy -1.953974 -1.721929) + (xy -1.9685 -1.693333) (xy -2.006991 -1.622796) (xy -2.037609 -1.583687) (xy -2.067755 -1.567952) + (xy -2.085221 -1.566333) (xy -2.114382 -1.569061) (xy -2.130126 -1.58387) (xy -2.136571 -1.620698) + (xy -2.137832 -1.689483) (xy -2.137833 -1.693333) (xy -2.137833 -1.820333) (xy -2.286 -1.820333) + (xy -2.286 -1.248833) (xy -2.137833 -1.248833) (xy -2.137833 -1.439333) (xy -2.042129 -1.439333) + (xy -1.979261 -1.435526) (xy -1.944153 -1.420094) (xy -1.923535 -1.389094) (xy -1.910202 -1.325135) + (xy -1.934077 -1.278891) (xy -1.992738 -1.253201) (xy -2.043328 -1.248833) (xy -2.137833 -1.248833) + (xy -2.286 -1.248833) (xy -2.286 -1.121833) (xy -2.086097 -1.121833) (xy -1.989733 -1.12291)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.497544 -1.444625) (xy -2.459046 -1.555699) (xy -2.425025 -1.653643) (xy -2.398063 -1.731041) + (xy -2.380741 -1.780476) (xy -2.375959 -1.793875) (xy -2.384488 -1.812209) (xy -2.430099 -1.819919) + (xy -2.451427 -1.820333) (xy -2.507684 -1.816831) (xy -2.537818 -1.799017) (xy -2.557907 -1.755928) + (xy -2.561483 -1.74529) (xy -2.586249 -1.670248) (xy -2.715872 -1.676499) (xy -2.787548 -1.681133) + (xy -2.828339 -1.690095) (xy -2.849668 -1.709127) (xy -2.862958 -1.743974) (xy -2.865142 -1.751541) + (xy -2.882316 -1.796295) (xy -2.909381 -1.815809) (xy -2.961732 -1.820314) (xy -2.96866 -1.820333) + (xy -3.025678 -1.815325) (xy -3.044302 -1.799637) (xy -3.04327 -1.793875) (xy -3.033456 -1.765675) + (xy -3.012338 -1.704892) (xy -2.982489 -1.618939) (xy -2.946619 -1.515619) (xy -2.787191 -1.515619) + (xy -2.784023 -1.534929) (xy -2.750383 -1.543777) (xy -2.709333 -1.545166) (xy -2.652386 -1.541662) + (xy -2.630384 -1.529394) (xy -2.631475 -1.515619) (xy -2.64446 -1.477506) (xy -2.662972 -1.418595) + (xy -2.668841 -1.399203) (xy -2.687796 -1.346082) (xy -2.704641 -1.315366) (xy -2.709333 -1.312333) + (xy -2.723648 -1.330588) (xy -2.742513 -1.376482) (xy -2.749826 -1.399203) (xy -2.76876 -1.460535) + (xy -2.784139 -1.507219) (xy -2.787191 -1.515619) (xy -2.946619 -1.515619) (xy -2.946482 -1.515225) + (xy -2.921976 -1.444625) (xy -2.809942 -1.121833) (xy -2.609306 -1.121833) (xy -2.497544 -1.444625)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.132666 -1.248833) (xy -3.450166 -1.248833) (xy -3.450166 -1.397) (xy -3.153833 -1.397) + (xy -3.153833 -1.524) (xy -3.450166 -1.524) (xy -3.450166 -1.693333) (xy -3.132666 -1.693333) + (xy -3.132666 -1.820333) (xy -3.598333 -1.820333) (xy -3.598333 -1.121833) (xy -3.132666 -1.121833) + (xy -3.132666 -1.248833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.360333 -1.248833) (xy -4.677833 -1.248833) (xy -4.677833 -1.397) (xy -4.3815 -1.397) + (xy -4.3815 -1.524) (xy -4.677833 -1.524) (xy -4.677833 -1.693333) (xy -4.360333 -1.693333) + (xy -4.360333 -1.820333) (xy -4.826 -1.820333) (xy -4.826 -1.121833) (xy -4.360333 -1.121833) + (xy -4.360333 -1.248833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.144308 -1.129822) (xy -5.04485 -1.155168) (xy -4.978444 -1.199939) (xy -4.94188 -1.266205) + (xy -4.931833 -1.34613) (xy -4.943371 -1.410282) (xy -4.97217 -1.474119) (xy -5.009508 -1.520704) + (xy -5.027459 -1.531798) (xy -5.041985 -1.543712) (xy -5.040789 -1.56812) (xy -5.021595 -1.612923) + (xy -4.984087 -1.68253) (xy -4.907023 -1.820333) (xy -4.99227 -1.820333) (xy -5.038553 -1.818303) + (xy -5.070287 -1.80663) (xy -5.097556 -1.77694) (xy -5.130442 -1.720861) (xy -5.145208 -1.693333) + (xy -5.186622 -1.621857) (xy -5.219614 -1.582323) (xy -5.251133 -1.56723) (xy -5.262866 -1.566333) + (xy -5.290589 -1.569431) (xy -5.30556 -1.585331) (xy -5.311675 -1.623937) (xy -5.312833 -1.693333) + (xy -5.312833 -1.820333) (xy -5.461 -1.820333) (xy -5.461 -1.248833) (xy -5.312833 -1.248833) + (xy -5.312833 -1.344083) (xy -5.311213 -1.402611) (xy -5.30052 -1.430326) (xy -5.272004 -1.438748) + (xy -5.240481 -1.439333) (xy -5.180559 -1.43568) (xy -5.135643 -1.426855) (xy -5.134648 -1.426485) + (xy -5.109804 -1.397388) (xy -5.101769 -1.348034) (xy -5.111552 -1.297442) (xy -5.126566 -1.274233) + (xy -5.163295 -1.257513) (xy -5.220993 -1.249078) (xy -5.2324 -1.248833) (xy -5.312833 -1.248833) + (xy -5.461 -1.248833) (xy -5.461 -1.121833) (xy -5.280032 -1.121833) (xy -5.144308 -1.129822)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.782386 -1.180041) (xy -5.790473 -1.215652) (xy -5.811947 -1.234046) (xy -5.858715 -1.242402) + (xy -5.889625 -1.244701) (xy -5.990166 -1.251152) (xy -5.990166 -1.820333) (xy -6.1595 -1.820333) + (xy -6.1595 -1.248833) (xy -6.265333 -1.248833) (xy -6.327984 -1.247446) (xy -6.359226 -1.238915) + (xy -6.369978 -1.216691) (xy -6.371166 -1.185333) (xy -6.371166 -1.121833) (xy -5.775688 -1.121833) + (xy -5.782386 -1.180041)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.948812 -1.124375) (xy -6.921472 -1.135855) (xy -6.89169 -1.162054) (xy -6.853684 -1.208752) + (xy -6.801674 -1.28173) (xy -6.762531 -1.338791) (xy -6.614583 -1.55575) (xy -6.608551 -1.338791) + (xy -6.602519 -1.121833) (xy -6.455833 -1.121833) (xy -6.455833 -1.820333) (xy -6.525905 -1.820333) + (xy -6.557029 -1.817899) (xy -6.584479 -1.806727) (xy -6.614109 -1.781011) (xy -6.65177 -1.734948) + (xy -6.703314 -1.662731) (xy -6.742864 -1.60513) (xy -6.88975 -1.389928) (xy -6.895782 -1.60513) + (xy -6.901814 -1.820333) (xy -7.0485 -1.820333) (xy -7.0485 -1.121833) (xy -6.979489 -1.121833) + (xy -6.948812 -1.124375)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.840103 -1.125965) (xy -7.742705 -1.132416) (xy -7.654894 -1.34913) (xy -7.618625 -1.43624) + (xy -7.587445 -1.506676) (xy -7.565004 -1.552475) (xy -7.555507 -1.566088) (xy -7.543377 -1.547835) + (xy -7.519181 -1.498079) (xy -7.486538 -1.424638) (xy -7.452657 -1.344083) (xy -7.361384 -1.121833) + (xy -7.1755 -1.121833) (xy -7.1755 -1.820333) (xy -7.322186 -1.820333) (xy -7.328218 -1.60727) + (xy -7.33425 -1.394208) (xy -7.418916 -1.607867) (xy -7.457071 -1.702184) (xy -7.48489 -1.763498) + (xy -7.506796 -1.798487) (xy -7.527208 -1.813827) (xy -7.550548 -1.816194) (xy -7.5565 -1.815638) + (xy -7.581714 -1.808343) (xy -7.604552 -1.788115) (xy -7.62926 -1.748154) (xy -7.660087 -1.681661) + (xy -7.698998 -1.5875) (xy -7.788579 -1.36525) (xy -7.788956 -1.592791) (xy -7.789333 -1.820333) + (xy -7.9375 -1.820333) (xy -7.9375 -1.119513) (xy -7.840103 -1.125965)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 9.135322 -1.111542) (xy 9.237529 -1.154912) (xy 9.328593 -1.237689) (xy 9.343776 -1.257061) + (xy 9.375156 -1.327253) (xy 9.389921 -1.420998) (xy 9.38827 -1.522995) (xy 9.370404 -1.617949) + (xy 9.338123 -1.688361) (xy 9.259853 -1.765413) (xy 9.16071 -1.815013) (xy 9.053094 -1.832759) + (xy 8.966609 -1.820265) (xy 8.868979 -1.769231) (xy 8.797181 -1.68706) (xy 8.753988 -1.578114) + (xy 8.741834 -1.466512) (xy 8.74271 -1.45807) (xy 8.89 -1.45807) (xy 8.903945 -1.558729) + (xy 8.942256 -1.634482) (xy 8.999646 -1.680965) (xy 9.070825 -1.69381) (xy 9.147184 -1.670462) + (xy 9.198467 -1.621074) (xy 9.229866 -1.546644) (xy 9.240109 -1.460149) (xy 9.227928 -1.374568) + (xy 9.192051 -1.30288) (xy 9.18406 -1.293602) (xy 9.125294 -1.258382) (xy 9.048714 -1.248935) + (xy 8.986198 -1.261981) (xy 8.946094 -1.296073) (xy 8.912202 -1.356593) (xy 8.89231 -1.427667) + (xy 8.89 -1.45807) (xy 8.74271 -1.45807) (xy 8.754731 -1.342365) (xy 8.795395 -1.246992) + (xy 8.866781 -1.174864) (xy 8.912733 -1.146924) (xy 9.025785 -1.108554) (xy 9.135322 -1.111542)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 8.365176 -1.108895) (xy 8.480626 -1.13735) (xy 8.565055 -1.194473) (xy 8.605332 -1.250925) + (xy 8.63274 -1.31749) (xy 8.630166 -1.357279) (xy 8.596577 -1.374406) (xy 8.573941 -1.375833) + (xy 8.515824 -1.361318) (xy 8.466817 -1.312544) (xy 8.466667 -1.312333) (xy 8.408111 -1.261693) + (xy 8.336895 -1.244724) (xy 8.265838 -1.261819) (xy 8.214203 -1.304604) (xy 8.179468 -1.376558) + (xy 8.167195 -1.464583) (xy 8.177003 -1.55272) (xy 8.208506 -1.62501) (xy 8.222288 -1.641378) + (xy 8.287665 -1.681664) (xy 8.360691 -1.689277) (xy 8.428844 -1.666488) (xy 8.479603 -1.615565) + (xy 8.490479 -1.592767) (xy 8.514638 -1.579649) (xy 8.558743 -1.580946) (xy 8.604414 -1.593101) + (xy 8.633267 -1.612558) (xy 8.636 -1.620915) (xy 8.621449 -1.656525) (xy 8.585411 -1.705899) + (xy 8.539306 -1.756174) (xy 8.494557 -1.794484) (xy 8.470655 -1.807157) (xy 8.363857 -1.82833) + (xy 8.274444 -1.824174) (xy 8.22325 -1.809932) (xy 8.124259 -1.754566) (xy 8.054751 -1.669138) + (xy 8.021056 -1.583375) (xy 8.005361 -1.460407) (xy 8.022998 -1.346792) (xy 8.06957 -1.248592) + (xy 8.140683 -1.171869) (xy 8.231938 -1.122686) (xy 8.338939 -1.107103) (xy 8.365176 -1.108895)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 7.86894 -1.689926) (xy 7.887878 -1.719525) (xy 7.891144 -1.739534) (xy 7.882804 -1.795704) + (xy 7.848774 -1.824458) (xy 7.799194 -1.820928) (xy 7.764841 -1.800185) (xy 7.731916 -1.765604) + (xy 7.731438 -1.734988) (xy 7.738724 -1.721117) (xy 7.779113 -1.690709) (xy 7.82339 -1.68275) + (xy 7.86894 -1.689926)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.427182 -1.113682) (xy 0.451923 -1.12079) (xy 0.518389 -1.155484) (xy 0.578574 -1.208139) + (xy 0.621133 -1.266788) (xy 0.635 -1.313957) (xy 0.618972 -1.34608) (xy 0.579347 -1.357295) + (xy 0.528816 -1.348496) (xy 0.480069 -1.320579) (xy 0.461885 -1.30175) (xy 0.406507 -1.261214) + (xy 0.335634 -1.247062) (xy 0.266046 -1.260154) (xy 0.223737 -1.289523) (xy 0.193639 -1.345605) + (xy 0.175032 -1.424611) (xy 0.171128 -1.50795) (xy 0.17784 -1.555009) (xy 0.213497 -1.628512) + (xy 0.270627 -1.67602) (xy 0.33887 -1.694656) (xy 0.407872 -1.681544) (xy 0.466243 -1.635125) + (xy 0.512224 -1.576916) (xy 0.436029 -1.570347) (xy 0.38512 -1.562129) (xy 0.363928 -1.541949) + (xy 0.359834 -1.501555) (xy 0.359834 -1.439333) (xy 0.656167 -1.439333) (xy 0.656134 -1.518708) + (xy 0.637132 -1.628007) (xy 0.584773 -1.718719) (xy 0.50588 -1.785582) (xy 0.407278 -1.823332) + (xy 0.295791 -1.826709) (xy 0.264141 -1.820993) (xy 0.158376 -1.777814) (xy 0.080585 -1.703663) + (xy 0.03211 -1.60048) (xy 0.014296 -1.470203) (xy 0.014231 -1.4605) (xy 0.021378 -1.37458) + (xy 0.039787 -1.298942) (xy 0.049179 -1.27696) (xy 0.116188 -1.190952) (xy 0.20825 -1.132462) + (xy 0.315277 -1.105402) (xy 0.427182 -1.113682)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.229575 -1.118783) (xy -1.1957 -1.131142) (xy -1.139492 -1.168039) (xy -1.086706 -1.223953) + (xy -1.04892 -1.284482) (xy -1.037246 -1.328208) (xy -1.053505 -1.351462) (xy -1.093487 -1.3566) + (xy -1.143699 -1.345684) (xy -1.190649 -1.320777) (xy -1.210281 -1.30175) (xy -1.268704 -1.258049) + (xy -1.340625 -1.245902) (xy -1.411508 -1.26514) (xy -1.458964 -1.304604) (xy -1.493699 -1.376558) + (xy -1.505971 -1.464583) (xy -1.496164 -1.55272) (xy -1.46466 -1.62501) (xy -1.450879 -1.641378) + (xy -1.381111 -1.686449) (xy -1.307322 -1.690936) (xy -1.236367 -1.65517) (xy -1.21046 -1.629833) + (xy -1.154256 -1.582316) (xy -1.102996 -1.565336) (xy -1.06451 -1.575577) (xy -1.046631 -1.60972) + (xy -1.057188 -1.664446) (xy -1.069941 -1.689368) (xy -1.13769 -1.764959) (xy -1.229588 -1.812677) + (xy -1.335342 -1.829264) (xy -1.44466 -1.811462) (xy -1.449916 -1.809653) (xy -1.548758 -1.754651) + (xy -1.618256 -1.669438) (xy -1.65211 -1.583375) (xy -1.66783 -1.459709) (xy -1.649765 -1.346794) + (xy -1.602969 -1.249639) (xy -1.532497 -1.173251) (xy -1.443405 -1.12264) (xy -1.340745 -1.102815) + (xy -1.229575 -1.118783)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.867736 -1.124121) (xy -3.792666 -1.163981) (xy -3.739484 -1.226555) (xy -3.731631 -1.243541) + (xy -3.704045 -1.312333) (xy -3.778189 -1.312333) (xy -3.853636 -1.297083) (xy -3.894666 -1.27) + (xy -3.951107 -1.23276) (xy -4.011909 -1.235336) (xy -4.044225 -1.249578) (xy -4.080168 -1.285809) + (xy -4.079354 -1.327782) (xy -4.043485 -1.363544) (xy -4.026958 -1.370975) (xy -3.971414 -1.391185) + (xy -3.899056 -1.4172) (xy -3.868173 -1.428224) (xy -3.802421 -1.458274) (xy -3.750634 -1.493547) + (xy -3.735881 -1.509307) (xy -3.707337 -1.583643) (xy -3.71136 -1.665677) (xy -3.744436 -1.740966) + (xy -3.803049 -1.795068) (xy -3.805794 -1.796544) (xy -3.876783 -1.819129) (xy -3.967059 -1.828928) + (xy -4.055065 -1.824292) (xy -4.085166 -1.817925) (xy -4.160706 -1.782017) (xy -4.21976 -1.726584) + (xy -4.25169 -1.662852) (xy -4.2545 -1.639236) (xy -4.239196 -1.59972) (xy -4.201592 -1.584273) + (xy -4.154149 -1.5928) (xy -4.109326 -1.625208) (xy -4.097696 -1.640416) (xy -4.056586 -1.676513) + (xy -4.001431 -1.693106) (xy -3.943139 -1.692079) (xy -3.892615 -1.67532) (xy -3.860765 -1.644714) + (xy -3.858496 -1.602147) (xy -3.859545 -1.599235) (xy -3.885024 -1.577058) (xy -3.938872 -1.551377) + (xy -3.994205 -1.532452) (xy -4.069089 -1.507935) (xy -4.133237 -1.482175) (xy -4.162958 -1.466672) + (xy -4.213913 -1.409816) (xy -4.236578 -1.331592) (xy -4.229906 -1.256579) (xy -4.191751 -1.186704) + (xy -4.1267 -1.138265) (xy -4.04451 -1.111518) (xy -3.954936 -1.106718) (xy -3.867736 -1.124121)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -8.374725 0.079597) (xy -8.413014 0.029601) (xy -8.470617 -0.038536) (xy -8.542454 -0.118693) + (xy -8.583083 -0.162388) (xy -8.667935 -0.253046) (xy -8.727888 -0.319546) (xy -8.767259 -0.36836) + (xy -8.790368 -0.405963) (xy -8.801533 -0.438831) (xy -8.805072 -0.473436) (xy -8.805333 -0.495578) + (xy -8.807161 -0.5549) (xy -8.817387 -0.583272) (xy -8.843127 -0.592062) (xy -8.866106 -0.592666) + (xy -8.887536 -0.592713) (xy -8.904135 -0.596241) (xy -8.917074 -0.608333) (xy -8.927524 -0.634074) + (xy -8.936656 -0.678545) (xy -8.945642 -0.746831) (xy -8.955651 -0.844014) (xy -8.967857 -0.975177) + (xy -8.976794 -1.073105) (xy -9.002332 -1.35246) (xy -8.745083 -1.620187) (xy -8.661574 -1.708138) + (xy -8.589383 -1.786156) (xy -8.533257 -1.848947) (xy -8.497943 -1.891219) (xy -8.487833 -1.907041) + (xy -8.493873 -1.923697) (xy -8.514224 -1.918773) (xy -8.552235 -1.889695) (xy -8.611252 -1.83389) + (xy -8.694623 -1.748786) (xy -8.697093 -1.746214) (xy -8.869854 -1.566262) (xy -8.891343 -1.622781) + (xy -8.920207 -1.675127) (xy -8.94904 -1.70681) (xy -9.012827 -1.731816) (xy -9.08735 -1.729107) + (xy -9.152503 -1.699965) (xy -9.16029 -1.693333) (xy -9.187912 -1.673053) (xy -9.224411 -1.660386) + (xy -9.279595 -1.653653) (xy -9.363272 -1.651175) (xy -9.407698 -1.651) (xy -9.501401 -1.651634) + (xy -9.560612 -1.654631) (xy -9.593169 -1.661633) (xy -9.606908 -1.674284) (xy -9.609666 -1.693333) + (xy -9.622853 -1.727188) (xy -9.659661 -1.735666) (xy -9.689191 -1.730607) (xy -9.708638 -1.708918) + (xy -9.724035 -1.660836) (xy -9.733744 -1.615719) (xy -9.73733 -1.594847) (xy -9.122684 -1.594847) + (xy -9.109285 -1.636221) (xy -9.10775 -1.63786) (xy -9.077269 -1.647131) (xy -9.038959 -1.64668) + (xy -8.999507 -1.633678) (xy -8.985941 -1.59845) (xy -8.98525 -1.579223) (xy -8.998031 -1.522311) + (xy -9.03 -1.490298) (xy -9.071597 -1.490339) (xy -9.089309 -1.50136) (xy -9.115019 -1.54245) + (xy -9.122684 -1.594847) (xy -9.73733 -1.594847) (xy -9.747361 -1.536465) (xy -9.756094 -1.463637) + (xy -9.757833 -1.430805) (xy -9.759275 -1.41031) (xy -9.694333 -1.41031) (xy -9.693489 -1.485915) + (xy -9.686184 -1.536223) (xy -9.665256 -1.566386) (xy -9.623546 -1.581552) (xy -9.553893 -1.586873) + (xy -9.449135 -1.5875) (xy -9.447988 -1.5875) (xy -9.347582 -1.586656) (xy -9.281768 -1.583302) + (xy -9.242821 -1.576206) (xy -9.22302 -1.564136) (xy -9.215892 -1.550458) (xy -9.186885 -1.481116) + (xy -9.147751 -1.440527) (xy -9.126799 -1.429197) (xy -9.08746 -1.403945) (xy -9.072533 -1.385603) + (xy -9.0827 -1.362073) (xy -9.116862 -1.31484) (xy -9.169683 -1.25073) (xy -9.235827 -1.176565) + (xy -9.236781 -1.175532) (xy -9.409807 -0.988335) (xy -9.55207 -1.144209) (xy -9.617125 -1.216586) + (xy -9.658555 -1.268021) (xy -9.681679 -1.308464) (xy -9.691818 -1.347865) (xy -9.69429 -1.396175) + (xy -9.694333 -1.41031) (xy -9.759275 -1.41031) (xy -9.759323 -1.409637) (xy -9.766421 -1.400043) + (xy -9.783066 -1.405043) (xy -9.813198 -1.427656) (xy -9.860757 -1.470903) (xy -9.929684 -1.537804) + (xy -10.023918 -1.631378) (xy -10.038575 -1.646002) (xy -10.143536 -1.750184) (xy -10.222511 -1.826935) + (xy -10.279005 -1.879194) (xy -10.316526 -1.909899) (xy -10.33858 -1.921988) (xy -10.348671 -1.918401) + (xy -10.3505 -1.907439) (xy -10.336455 -1.887103) (xy -10.297308 -1.841431) (xy -10.237542 -1.775365) + (xy -10.16164 -1.693848) (xy -10.074083 -1.601825) (xy -10.061774 -1.589033) (xy -9.773049 -1.289354) + (xy -9.801191 -0.92606) (xy -9.821258 -0.667014) (xy -9.754053 -0.667014) (xy -9.752201 -0.724228) + (xy -9.746981 -0.806481) (xy -9.738828 -0.905231) (xy -9.738667 -0.90699) (xy -9.729599 -1.009422) + (xy -9.722195 -1.098871) (xy -9.717236 -1.1655) (xy -9.7155 -1.198947) (xy -9.704934 -1.207801) + (xy -9.671972 -1.187437) (xy -9.614723 -1.136507) (xy -9.57896 -1.101701) (xy -9.442421 -0.966375) + (xy -9.446289 -0.962084) (xy -9.355666 -0.962084) (xy -9.342241 -0.983823) (xy -9.307056 -1.025649) + (xy -9.257743 -1.079674) (xy -9.201937 -1.138006) (xy -9.147272 -1.192757) (xy -9.101382 -1.236037) + (xy -9.071901 -1.259956) (xy -9.065462 -1.262017) (xy -9.061209 -1.239714) (xy -9.053923 -1.182632) + (xy -9.04445 -1.098146) (xy -9.033634 -0.993631) (xy -9.027319 -0.929242) (xy -9.016524 -0.816447) + (xy -9.007326 -0.719234) (xy -9.000424 -0.645073) (xy -8.996516 -0.601435) (xy -8.995896 -0.593133) + (xy -9.009826 -0.603119) (xy -9.047836 -0.637753) (xy -9.104182 -0.691638) (xy -9.173117 -0.759382) + (xy -9.17575 -0.762) (xy -9.245656 -0.83321) (xy -9.302888 -0.894685) (xy -9.34153 -0.939845) + (xy -9.355666 -0.962084) (xy -9.446289 -0.962084) (xy -9.592396 -0.800011) (xy -9.654579 -0.732838) + (xy -9.706102 -0.680569) (xy -9.740761 -0.649289) (xy -9.752103 -0.64338) (xy -9.754053 -0.667014) + (xy -9.821258 -0.667014) (xy -9.829334 -0.562765) (xy -9.904067 -0.477174) (xy -9.946954 -0.429613) + (xy -10.011179 -0.360315) (xy -10.088629 -0.277953) (xy -10.171194 -0.1912) (xy -10.185817 -0.175949) + (xy -10.260611 -0.095417) (xy -10.32334 -0.022874) (xy -10.368625 0.035075) (xy -10.391089 0.071821) + (xy -10.392579 0.078051) (xy -10.37883 0.07815) (xy -10.338753 0.047593) (xy -10.273787 -0.01232) + (xy -10.185371 -0.100292) (xy -10.118471 -0.169333) (xy -10.022849 -0.268868) (xy -9.952537 -0.340828) + (xy -9.903625 -0.388273) (xy -9.872203 -0.414259) (xy -9.85436 -0.421844) (xy -9.846187 -0.414085) + (xy -9.843773 -0.394041) (xy -9.843559 -0.38431) (xy -9.850014 -0.330659) (xy -9.875485 -0.305504) + (xy -9.884833 -0.302466) (xy -9.894516 -0.296333) (xy -9.779 -0.296333) (xy -9.779 -0.534617) + (xy -9.595253 -0.722392) (xy -9.524184 -0.794014) (xy -9.463737 -0.853045) (xy -9.420003 -0.89367) + (xy -9.399072 -0.910074) (xy -9.398576 -0.910166) (xy -9.378032 -0.896227) (xy -9.337831 -0.860042) + (xy -9.296574 -0.819407) (xy -9.249225 -0.76884) (xy -9.216921 -0.729899) (xy -9.2075 -0.713573) + (xy -9.226877 -0.706144) (xy -9.277869 -0.70076) (xy -9.349771 -0.698511) (xy -9.355666 -0.6985) + (xy -9.433075 -0.697721) (xy -9.477378 -0.693191) (xy -9.497799 -0.681613) (xy -9.503563 -0.659693) + (xy -9.503833 -0.645583) (xy -9.502181 -0.619958) (xy -9.491638 -0.604319) (xy -9.463822 -0.596201) + (xy -9.410352 -0.593138) (xy -9.323916 -0.592666) (xy -9.236298 -0.595007) (xy -9.17425 -0.601446) + (xy -9.145225 -0.611105) (xy -9.144 -0.613833) (xy -9.13333 -0.634724) (xy -9.104928 -0.622982) + (xy -9.064207 -0.581421) (xy -9.052367 -0.566208) (xy -9.02595 -0.517483) (xy -8.925872 -0.517483) + (xy -8.920335 -0.528011) (xy -8.89328 -0.529166) (xy -8.855782 -0.515872) (xy -8.847666 -0.486833) + (xy -8.85074 -0.452375) (xy -8.854969 -0.4445) (xy -8.872843 -0.458301) (xy -8.900583 -0.486833) + (xy -8.925872 -0.517483) (xy -9.02595 -0.517483) (xy -9.017046 -0.501062) (xy -8.991142 -0.421629) + (xy -8.986493 -0.396875) (xy -8.972073 -0.296333) (xy -9.779 -0.296333) (xy -9.894516 -0.296333) + (xy -9.916437 -0.282449) (xy -9.927032 -0.254502) (xy -9.912962 -0.234696) (xy -9.900708 -0.232649) + (xy -9.870853 -0.218924) (xy -9.861219 -0.211666) (xy -9.768416 -0.211666) (xy -9.766738 -0.231171) + (xy -9.759082 -0.232833) (xy -9.737528 -0.217468) (xy -9.736666 -0.211666) (xy -9.743888 -0.19105) + (xy -9.746001 -0.1905) (xy -9.630833 -0.1905) (xy -9.628812 -0.206518) (xy -9.61863 -0.21789) + (xy -9.594112 -0.225407) (xy -9.549082 -0.229865) (xy -9.477362 -0.232057) (xy -9.372777 -0.232777) + (xy -9.301501 -0.232833) (xy -9.169122 -0.231576) (xy -9.07038 -0.227922) (xy -9.007974 -0.222046) + (xy -8.984604 -0.214125) (xy -8.984889 -0.21225) (xy -9.011619 -0.193872) (xy -9.06338 -0.172472) + (xy -9.085184 -0.165429) (xy -9.143327 -0.151036) (xy -9.172603 -0.153307) (xy -9.18131 -0.164845) + (xy -9.208288 -0.180543) (xy -9.275192 -0.189012) (xy -9.336264 -0.1905) (xy -9.415191 -0.188821) + (xy -9.460227 -0.18249) (xy -9.479727 -0.169566) (xy -9.482666 -0.156485) (xy -9.49529 -0.132579) + (xy -9.516148 -0.135318) (xy -9.566769 -0.146598) (xy -9.590231 -0.148166) (xy -9.624359 -0.163961) + (xy -9.630833 -0.1905) (xy -9.746001 -0.1905) (xy -9.764072 -0.205332) (xy -9.768416 -0.211666) + (xy -9.861219 -0.211666) (xy -9.824824 -0.184249) (xy -9.798885 -0.161016) (xy -9.764875 -0.130955) + (xy -9.744453 -0.117605) (xy -9.393609 -0.117605) (xy -9.368168 -0.121113) (xy -9.345083 -0.121662) + (xy -9.30152 -0.119958) (xy -9.290277 -0.115161) (xy -9.297211 -0.112456) (xy -9.348042 -0.108514) + (xy -9.381878 -0.112029) (xy -9.393609 -0.117605) (xy -9.744453 -0.117605) (xy -9.730676 -0.108599) + (xy -9.688626 -0.091966) (xy -9.631062 -0.079075) (xy -9.55032 -0.067943) (xy -9.438738 -0.056588) + (xy -9.371312 -0.050417) (xy -9.288364 -0.04641) (xy -9.227418 -0.050292) (xy -9.201979 -0.058654) + (xy -9.16424 -0.077298) (xy -9.107547 -0.094345) (xy -9.101977 -0.095581) (xy -9.036709 -0.115542) + (xy -8.981964 -0.141686) (xy -8.981199 -0.142183) (xy -8.938557 -0.160553) (xy -8.911908 -0.159208) + (xy -8.875112 -0.153685) (xy -8.847477 -0.15881) (xy -8.812069 -0.187338) (xy -8.805082 -0.23122) + (xy -8.825376 -0.275503) (xy -8.85825 -0.300184) (xy -8.893017 -0.325516) (xy -8.911746 -0.355615) + (xy -8.90837 -0.377355) (xy -8.895597 -0.381) (xy -8.876243 -0.36676) (xy -8.83228 -0.327278) + (xy -8.76887 -0.267412) (xy -8.691173 -0.192018) (xy -8.62043 -0.122025) (xy -8.536528 -0.040029) + (xy -8.46392 0.027674) (xy -8.407328 0.076949) (xy -8.371477 0.103658) (xy -8.360833 0.105331) + (xy -8.374725 0.079597)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -8.551333 -2.751666) (xy -10.244666 -2.751666) (xy -10.244666 -2.3495) (xy -8.551333 -2.3495) + (xy -8.551333 -2.751666)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.375834 -2.295111) (xy 1.376283 -2.393798) (xy 1.378718 -2.45795) (xy 1.38477 -2.495362) + (xy 1.396072 -2.51383) (xy 1.414255 -2.521151) (xy 1.423459 -2.522652) (xy 1.463048 -2.543598) + (xy 1.4808 -2.581793) (xy 1.475256 -2.621587) (xy 1.444955 -2.64733) (xy 1.43529 -2.649489) + (xy 1.395156 -2.669193) (xy 1.379719 -2.714625) (xy 1.369432 -2.754428) (xy 1.341938 -2.770367) + (xy 1.300344 -2.772833) (xy 1.25119 -2.769115) (xy 1.231278 -2.750428) (xy 1.227667 -2.709333) + (xy 1.227667 -2.645833) (xy 1.058334 -2.645833) (xy 0.974297 -2.645174) (xy 0.92397 -2.641524) + (xy 0.898731 -2.632373) (xy 0.889959 -2.615209) (xy 0.889 -2.596524) (xy 0.899973 -2.560698) + (xy 0.919992 -2.518833) (xy 1.057033 -2.518833) (xy 1.227667 -2.518833) (xy 1.227667 -2.388305) + (xy 1.2265 -2.321995) (xy 1.223455 -2.278174) (xy 1.219644 -2.266597) (xy 1.205049 -2.28766) + (xy 1.174478 -2.334429) (xy 1.134533 -2.3968) (xy 1.134327 -2.397125) (xy 1.057033 -2.518833) + (xy 0.919992 -2.518833) (xy 0.92997 -2.497967) (xy 0.974609 -2.4167) (xy 1.029508 -2.325265) + (xy 1.03862 -2.310774) (xy 1.18824 -2.074333) (xy 1.375834 -2.074333) (xy 1.375834 -2.295111)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.143 -2.772833) (xy -1.291166 -2.772833) (xy -1.291166 -2.497666) (xy -1.291843 -2.395303) + (xy -1.293694 -2.310207) (xy -1.296451 -2.250235) (xy -1.299847 -2.223249) (xy -1.30054 -2.2225) + (xy -1.322932 -2.232262) (xy -1.367586 -2.256677) (xy -1.385207 -2.266924) (xy -1.4605 -2.311348) + (xy -1.4605 -2.24652) (xy -1.441096 -2.185867) (xy -1.389914 -2.131908) (xy -1.3175 -2.092279) + (xy -1.234397 -2.074618) (xy -1.222753 -2.074333) (xy -1.143 -2.074333) (xy -1.143 -2.772833)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -3.704166 -2.201333) (xy -4.021666 -2.201333) (xy -4.021666 -2.3495) (xy -3.725333 -2.3495) + (xy -3.725333 -2.4765) (xy -4.021666 -2.4765) (xy -4.021666 -2.645833) (xy -3.704166 -2.645833) + (xy -3.704166 -2.772833) (xy -4.191 -2.772833) (xy -4.191 -2.074333) (xy -3.704166 -2.074333) + (xy -3.704166 -2.201333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -4.466788 -2.084916) (xy -4.387517 -2.164229) (xy -4.345925 -2.208668) (xy -4.321434 -2.247659) + (xy -4.308682 -2.295587) (xy -4.302309 -2.366833) (xy -4.300734 -2.397063) (xy -4.298538 -2.482543) + (xy -4.303946 -2.540556) (xy -4.319669 -2.585685) (xy -4.343074 -2.624666) (xy -4.402134 -2.6933) + (xy -4.475353 -2.738621) (xy -4.571128 -2.763976) (xy -4.69786 -2.77271) (xy -4.714875 -2.7728) + (xy -4.8895 -2.772833) (xy -4.8895 -2.201333) (xy -4.741333 -2.201333) (xy -4.741333 -2.645833) + (xy -4.668246 -2.645833) (xy -4.600626 -2.638697) (xy -4.542676 -2.62192) (xy -4.486586 -2.5741) + (xy -4.454637 -2.496121) (xy -4.449111 -2.394077) (xy -4.450772 -2.376442) (xy -4.473559 -2.287122) + (xy -4.520593 -2.231127) (xy -4.596146 -2.204672) (xy -4.648428 -2.201333) (xy -4.741333 -2.201333) + (xy -4.8895 -2.201333) (xy -4.8895 -2.070366) (xy -4.466788 -2.084916)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.228166 -2.201333) (xy -5.545666 -2.201333) (xy -5.545666 -2.3495) (xy -5.249333 -2.3495) + (xy -5.249333 -2.4765) (xy -5.545666 -2.4765) (xy -5.545666 -2.645833) (xy -5.228166 -2.645833) + (xy -5.228166 -2.772833) (xy -5.715 -2.772833) (xy -5.715 -2.074333) (xy -5.228166 -2.074333) + (xy -5.228166 -2.201333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.820833 -2.201333) (xy -6.138333 -2.201333) (xy -6.138333 -2.3495) (xy -5.842 -2.3495) + (xy -5.842 -2.4765) (xy -6.138333 -2.4765) (xy -6.138333 -2.645833) (xy -5.820833 -2.645833) + (xy -5.820833 -2.772833) (xy -6.307666 -2.772833) (xy -6.307666 -2.074333) (xy -5.820833 -2.074333) + (xy -5.820833 -2.201333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.4135 -2.201333) (xy -6.731 -2.201333) (xy -6.731 -2.3495) (xy -6.434666 -2.3495) + (xy -6.434666 -2.4765) (xy -6.731 -2.4765) (xy -6.731 -2.645833) (xy -6.4135 -2.645833) + (xy -6.4135 -2.772833) (xy -6.900333 -2.772833) (xy -6.900333 -2.074333) (xy -6.4135 -2.074333) + (xy -6.4135 -2.201333)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.404628 -2.076473) (xy -7.378899 -2.089772) (xy -7.362653 -2.124549) (xy -7.347737 -2.185458) + (xy -7.326789 -2.271048) (xy -7.300403 -2.369069) (xy -7.284635 -2.423583) (xy -7.246532 -2.550583) + (xy -7.197486 -2.335398) (xy -7.175647 -2.243409) (xy -7.15564 -2.165967) (xy -7.140125 -2.113004) + (xy -7.133357 -2.095808) (xy -7.104045 -2.081199) (xy -7.052165 -2.077587) (xy -7.044355 -2.07816) + (xy -6.970435 -2.084916) (xy -7.067676 -2.428849) (xy -7.164916 -2.772782) (xy -7.241236 -2.772808) + (xy -7.317555 -2.772833) (xy -7.378682 -2.561166) (xy -7.405028 -2.473786) (xy -7.428198 -2.403958) + (xy -7.445247 -2.360161) (xy -7.452201 -2.3495) (xy -7.462638 -2.368535) (xy -7.481093 -2.419965) + (xy -7.504689 -2.495279) (xy -7.523708 -2.561166) (xy -7.582823 -2.772833) (xy -7.65962 -2.772736) + (xy -7.736416 -2.772639) (xy -7.829234 -2.449944) (xy -7.861584 -2.337975) (xy -7.890327 -2.23943) + (xy -7.913222 -2.161919) (xy -7.928027 -2.113052) (xy -7.93204 -2.100791) (xy -7.92378 -2.08255) + (xy -7.878674 -2.074795) (xy -7.855914 -2.074333) (xy -7.820558 -2.074467) (xy -7.79461 -2.078932) + (xy -7.774899 -2.093816) (xy -7.758257 -2.12521) (xy -7.741512 -2.179205) (xy -7.721495 -2.261891) + (xy -7.695037 -2.379359) (xy -7.693424 -2.386541) (xy -7.676111 -2.460266) (xy -7.661925 -2.514626) + (xy -7.65355 -2.539448) (xy -7.652915 -2.54) (xy -7.645548 -2.520844) (xy -7.630078 -2.468814) + (xy -7.608837 -2.392059) (xy -7.586345 -2.307166) (xy -7.525799 -2.074333) (xy -7.449268 -2.074333) + (xy -7.404628 -2.076473)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.60422 -2.062225) (xy 0.664481 -2.085258) (xy 0.7136 -2.119655) (xy 0.761137 -2.169706) + (xy 0.79506 -2.221048) (xy 0.804334 -2.252224) (xy 0.786631 -2.264496) (xy 0.744131 -2.266876) + (xy 0.692745 -2.261086) (xy 0.648386 -2.248847) (xy 0.627346 -2.233083) (xy 0.598252 -2.208458) + (xy 0.548641 -2.202448) (xy 0.495742 -2.214502) (xy 0.461207 -2.238375) (xy 0.432402 -2.286594) + (xy 0.414006 -2.338341) (xy 0.405463 -2.380326) (xy 0.412663 -2.386849) (xy 0.43901 -2.365051) + (xy 0.512284 -2.323503) (xy 0.596325 -2.312808) (xy 0.678424 -2.331069) (xy 0.745873 -2.376393) + (xy 0.773582 -2.41493) (xy 0.80202 -2.510901) (xy 0.792617 -2.604496) (xy 0.749329 -2.686642) + (xy 0.676115 -2.748267) (xy 0.617765 -2.772096) (xy 0.565206 -2.786308) (xy 0.532217 -2.790266) + (xy 0.497275 -2.783876) (xy 0.453917 -2.771388) (xy 0.369908 -2.726262) (xy 0.307265 -2.650054) + (xy 0.269015 -2.549836) (xy 0.266971 -2.527711) (xy 0.42445 -2.527711) (xy 0.439081 -2.5897) + (xy 0.465667 -2.624666) (xy 0.514655 -2.660634) (xy 0.56218 -2.660226) (xy 0.609124 -2.634049) + (xy 0.648948 -2.586079) (xy 0.65654 -2.53034) (xy 0.637541 -2.477587) (xy 0.597591 -2.438579) + (xy 0.54233 -2.424072) (xy 0.503161 -2.431982) (xy 0.446496 -2.47161) (xy 0.42445 -2.527711) + (xy 0.266971 -2.527711) (xy 0.258188 -2.432684) (xy 0.269374 -2.340373) (xy 0.304435 -2.222896) + (xy 0.354508 -2.14077) (xy 0.423101 -2.088602) (xy 0.437564 -2.082028) (xy 0.52543 -2.056369) + (xy 0.60422 -2.062225)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.127 -2.199478) (xy -0.179916 -2.211916) (xy -0.186663 -2.282231) (xy -0.188766 -2.328383) + (xy -0.178278 -2.341078) (xy -0.151013 -2.329856) (xy -0.069762 -2.30777) (xy 0.012079 -2.320822) + (xy 0.085174 -2.362997) (xy 0.14019 -2.428282) (xy 0.16779 -2.51066) (xy 0.169334 -2.536259) + (xy 0.149575 -2.629054) (xy 0.095297 -2.707208) (xy 0.013999 -2.761241) (xy -0.017235 -2.772096) + (xy -0.069794 -2.786308) (xy -0.102783 -2.790266) (xy -0.137725 -2.783876) (xy -0.181083 -2.771388) + (xy -0.251912 -2.735891) (xy -0.30986 -2.68061) (xy -0.341165 -2.618989) (xy -0.342124 -2.614083) + (xy -0.333323 -2.578018) (xy -0.299026 -2.562545) (xy -0.250785 -2.567774) (xy -0.200154 -2.593815) + (xy -0.179494 -2.612539) (xy -0.137951 -2.649353) (xy -0.103669 -2.666784) (xy -0.10097 -2.667) + (xy -0.047872 -2.649595) (xy -0.001968 -2.60765) (xy 0.020747 -2.556565) (xy 0.021167 -2.549383) + (xy 0.005686 -2.49086) (xy -0.032772 -2.444677) (xy -0.082233 -2.42197) (xy -0.113082 -2.424535) + (xy -0.164407 -2.435326) (xy -0.231649 -2.441908) (xy -0.243416 -2.442367) (xy -0.328083 -2.44475) + (xy -0.320441 -2.275416) (xy -0.315746 -2.194181) (xy -0.310109 -2.129797) (xy -0.304576 -2.093566) + (xy -0.303317 -2.090208) (xy -0.27945 -2.083495) (xy -0.22279 -2.078188) (xy -0.14287 -2.074974) + (xy -0.083417 -2.074333) (xy 0.127 -2.074333) (xy 0.127 -2.199478)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.490719 -2.132541) (xy -0.496265 -2.162802) (xy -0.510585 -2.180696) (xy -0.543227 -2.190117) + (xy -0.603739 -2.194956) (xy -0.648662 -2.196924) (xy -0.799908 -2.203098) (xy -0.808454 -2.276299) + (xy -0.809097 -2.329302) (xy -0.797243 -2.349844) (xy -0.775945 -2.333494) (xy -0.772583 -2.328333) + (xy -0.739559 -2.310277) (xy -0.682863 -2.307111) (xy -0.617863 -2.317353) (xy -0.559925 -2.339519) + (xy -0.543333 -2.350462) (xy -0.488105 -2.418383) (xy -0.462271 -2.503668) (xy -0.465601 -2.593788) + (xy -0.497867 -2.676215) (xy -0.550333 -2.73267) (xy -0.635498 -2.771259) (xy -0.736263 -2.783516) + (xy -0.818877 -2.771321) (xy -0.883278 -2.737611) (xy -0.937645 -2.684555) (xy -0.969745 -2.625641) + (xy -0.973666 -2.600645) (xy -0.957904 -2.569221) (xy -0.918815 -2.559669) (xy -0.868695 -2.570991) + (xy -0.819839 -2.602187) (xy -0.80829 -2.614083) (xy -0.750647 -2.657448) (xy -0.692969 -2.66479) + (xy -0.645198 -2.639367) (xy -0.617275 -2.584439) (xy -0.613833 -2.550326) (xy -0.630034 -2.479874) + (xy -0.673171 -2.436004) (xy -0.735041 -2.424801) (xy -0.771104 -2.433604) (xy -0.837752 -2.450342) + (xy -0.890378 -2.455333) (xy -0.931129 -2.451507) (xy -0.948535 -2.431727) (xy -0.952315 -2.383535) + (xy -0.952316 -2.375958) (xy -0.94953 -2.306146) (xy -0.942701 -2.221491) (xy -0.938784 -2.185458) + (xy -0.925437 -2.074333) (xy -0.484021 -2.074333) (xy -0.490719 -2.132541)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.760374 -2.062972) (xy -1.76016 -2.063019) (xy -1.681145 -2.095987) (xy -1.623766 -2.149863) + (xy -1.591668 -2.215497) (xy -1.588497 -2.28374) (xy -1.617898 -2.34544) (xy -1.639409 -2.366092) + (xy -1.691319 -2.406924) (xy -1.638838 -2.435011) (xy -1.589177 -2.483692) (xy -1.563818 -2.556057) + (xy -1.563931 -2.614083) (xy -1.592102 -2.676729) (xy -1.650441 -2.732633) (xy -1.727013 -2.770663) + (xy -1.734095 -2.772747) (xy -1.792843 -2.787648) (xy -1.835129 -2.790801) (xy -1.880647 -2.781176) + (xy -1.93675 -2.762116) (xy -2.018336 -2.720131) (xy -2.073872 -2.664755) (xy -2.095454 -2.604001) + (xy -2.0955 -2.601155) (xy -2.079001 -2.570807) (xy -2.03867 -2.559538) (xy -1.988256 -2.566936) + (xy -1.941507 -2.59259) (xy -1.92828 -2.606145) (xy -1.879159 -2.641357) (xy -1.82037 -2.650157) + (xy -1.764855 -2.635381) (xy -1.725562 -2.599865) (xy -1.7145 -2.559319) (xy -1.733653 -2.516611) + (xy -1.784078 -2.486881) (xy -1.850692 -2.4765) (xy -1.88973 -2.469733) (xy -1.903792 -2.440785) + (xy -1.905 -2.414341) (xy -1.899169 -2.370137) (xy -1.872886 -2.351007) (xy -1.838343 -2.345549) + (xy -1.776114 -2.326361) (xy -1.741656 -2.289076) (xy -1.741327 -2.242025) (xy -1.748493 -2.227791) + (xy -1.790959 -2.192491) (xy -1.845554 -2.184438) (xy -1.894518 -2.204363) (xy -1.909094 -2.22119) + (xy -1.944903 -2.252259) (xy -1.993374 -2.26637) (xy -2.039937 -2.263152) (xy -2.070022 -2.242235) + (xy -2.074333 -2.225386) (xy -2.054918 -2.166619) (xy -2.003529 -2.115679) (xy -1.930452 -2.077881) + (xy -1.845972 -2.05854) (xy -1.760374 -2.062972)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.397607 -2.0672) (xy -2.313015 -2.105362) (xy -2.284391 -2.128791) (xy -2.23488 -2.197779) + (xy -2.221512 -2.269501) (xy -2.244672 -2.334975) (xy -2.274279 -2.36599) (xy -2.326059 -2.40672) + (xy -2.263696 -2.452827) (xy -2.212447 -2.512957) (xy -2.198985 -2.584513) (xy -2.223326 -2.659807) + (xy -2.263205 -2.710961) (xy -2.311455 -2.750387) (xy -2.35485 -2.771835) (xy -2.363747 -2.773122) + (xy -2.418522 -2.777688) (xy -2.44475 -2.782001) (xy -2.494135 -2.783005) (xy -2.55658 -2.773784) + (xy -2.560832 -2.772788) (xy -2.628858 -2.742354) (xy -2.687207 -2.692335) (xy -2.723884 -2.634784) + (xy -2.7305 -2.602319) (xy -2.714028 -2.571232) (xy -2.67373 -2.559469) (xy -2.623285 -2.566716) + (xy -2.576371 -2.592661) (xy -2.56328 -2.606145) (xy -2.516604 -2.639064) (xy -2.45864 -2.648903) + (xy -2.40269 -2.637869) (xy -2.362059 -2.608169) (xy -2.3495 -2.57067) (xy -2.368353 -2.525278) + (xy -2.415662 -2.490499) (xy -2.477553 -2.4765) (xy -2.478012 -2.4765) (xy -2.522274 -2.4709) + (xy -2.538317 -2.445098) (xy -2.54 -2.414341) (xy -2.534169 -2.370137) (xy -2.507886 -2.351007) + (xy -2.473343 -2.345549) (xy -2.418983 -2.329754) (xy -2.383493 -2.301875) (xy -2.371776 -2.254866) + (xy -2.391554 -2.216326) (xy -2.432124 -2.191308) (xy -2.482785 -2.184867) (xy -2.532835 -2.202055) + (xy -2.554367 -2.221182) (xy -2.611745 -2.257529) (xy -2.661181 -2.264833) (xy -2.730621 -2.264833) + (xy -2.703429 -2.198193) (xy -2.653371 -2.126462) (xy -2.578543 -2.079531) (xy -2.489703 -2.059183) + (xy -2.397607 -2.0672)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.99854 -2.077776) (xy -2.923207 -2.127585) (xy -2.911692 -2.140177) (xy -2.866774 -2.216317) + (xy -2.863793 -2.28782) (xy -2.902758 -2.354203) (xy -2.907575 -2.359165) (xy -2.95765 -2.40924) + (xy -2.896991 -2.454087) (xy -2.847107 -2.512042) (xy -2.833164 -2.578969) (xy -2.852197 -2.647155) + (xy -2.901241 -2.708888) (xy -2.977329 -2.756455) (xy -3.018838 -2.770973) (xy -3.081256 -2.786786) + (xy -3.124589 -2.790387) (xy -3.170245 -2.781907) (xy -3.204225 -2.772104) (xy -3.275694 -2.737535) + (xy -3.33235 -2.686313) (xy -3.362993 -2.629668) (xy -3.3655 -2.610393) (xy -3.356636 -2.573572) + (xy -3.322028 -2.561527) (xy -3.308656 -2.561166) (xy -3.251738 -2.575564) (xy -3.203933 -2.606145) + (xy -3.144215 -2.641548) (xy -3.08003 -2.648706) (xy -3.026229 -2.627113) (xy -3.012609 -2.612683) + (xy -2.989345 -2.558694) (xy -3.006288 -2.516651) (xy -3.062747 -2.487569) (xy -3.104004 -2.478458) + (xy -3.157932 -2.465469) (xy -3.1812 -2.444009) (xy -3.185583 -2.41264) (xy -3.178075 -2.375066) + (xy -3.147494 -2.35618) (xy -3.113692 -2.3495) (xy -3.057692 -2.331936) (xy -3.019816 -2.30376) + (xy -3.01855 -2.301875) (xy -3.006782 -2.254893) (xy -3.026514 -2.216361) (xy -3.067049 -2.191332) + (xy -3.117691 -2.184859) (xy -3.167743 -2.201994) (xy -3.189367 -2.221182) (xy -3.246723 -2.257523) + (xy -3.296121 -2.264833) (xy -3.344277 -2.261626) (xy -3.361656 -2.246535) (xy -3.350567 -2.211356) + (xy -3.3241 -2.165444) (xy -3.262688 -2.101938) (xy -3.180087 -2.065676) (xy -3.088102 -2.057381) + (xy -2.99854 -2.077776)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 10.837334 -3.302) (xy -10.816166 -3.302) (xy -10.816166 1.27) (xy -10.689166 1.27) + (xy -10.689166 -3.175) (xy 10.710334 -3.175) (xy 10.710334 1.27) (xy -10.689166 1.27) + (xy -10.816166 1.27) (xy -10.816166 3.175) (xy -10.689166 3.175) (xy -10.689166 1.397) + (xy 10.710334 1.397) (xy 10.710334 3.175) (xy -10.689166 3.175) (xy -10.816166 3.175) + (xy -10.816166 3.302) (xy 10.837334 3.302) (xy 10.837334 -3.302)) (layer B.SilkS) (width 0.01)) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA711) + (at 133 45.5 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5DCD0C98) + (attr smd) + (fp_text reference R8 (at -2.05 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 4.7k (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 78 DISP_SCL)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Button_Switch_SMD:SW_Push_1P1T_NO_CK_KMR2 (layer F.Cu) (tedit 5A02FC95) (tstamp 618EA6FC) + (at 159.5 49.5 180) + (descr "CK components KMR2 tactile switch http://www.ckswitches.com/media/1479/kmr2.pdf") + (tags "tactile switch kmr2") + (path /6195BBBB/5CF3DA9E) + (attr smd) + (fp_text reference SW61 (at 0 -2.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value RESET (at 0 2.55) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.2 0.05) (end -2.2 -0.05) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.2 -1.55) (end -2.2 -1.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.2 1.55) (end 2.2 1.55) (layer F.SilkS) (width 0.12)) + (fp_circle (center 0 0) (end 0 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.8 1.8) (end -2.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.8 1.8) (end -2.8 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.8 -1.8) (end 2.8 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.8 -1.8) (end 2.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.2 0.05) (end 2.2 -0.05) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.1 1.4) (end -2.1 -1.4) (layer F.Fab) (width 0.1)) + (fp_line (start 2.1 1.4) (end -2.1 1.4) (layer F.Fab) (width 0.1)) + (fp_line (start 2.1 -1.4) (end 2.1 1.4) (layer F.Fab) (width 0.1)) + (fp_line (start -2.1 -1.4) (end 2.1 -1.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 -2.45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 smd rect (at -2.05 -0.8 180) (size 0.9 1) (layers F.Cu F.Paste F.Mask) + (net 80 RESET)) + (pad 2 smd rect (at -2.05 0.8 180) (size 0.9 1) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (pad 1 smd rect (at 2.05 -0.8 180) (size 0.9 1) (layers F.Cu F.Paste F.Mask) + (net 80 RESET)) + (pad 2 smd rect (at 2.05 0.8 180) (size 0.9 1) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_Push_1P1T_NO_CK_KMR2.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA6EC) + (at 135 45.5 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5DCCE912) + (attr smd) + (fp_text reference R7 (at -0.7 1.05 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 4.7k (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 77 DISP_SDA)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA6DC) + (at 168.75 52.85 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5A213F64) + (attr smd) + (fp_text reference R4 (at -2 0) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 22 (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 82 "/Pocket Reform KBD MCU/UD+")) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 72 D+)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA6CC) + (at 130.5 48.5 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/609DB3B5) + (attr smd) + (fp_text reference R6 (at -2 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0 (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 76 "Net-(J2-Pad1)")) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA6BC) + (at 160.9 47.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5F2226E4) + (attr smd) + (fp_text reference C1 (at 0 -1.5) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA69D) + (at 156.4 51.75 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5CF5B5C6) + (attr smd) + (fp_text reference R3 (at 0.25 -1.5 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0 (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 81 "Net-(R3-Pad1)")) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal (layer F.Cu) (tedit 5B78AD87) (tstamp 618EA67A) + (at 125.5 46 180) + (descr "JST PH series connector, S4B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH top entry") + (path /6195BBBB/5CF60438) + (attr smd) + (fp_text reference J2 (at 7 4 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value UART (at 0 5.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3 -0.892893) (end -2.5 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.5 -1.6) (end -3 -0.892893) (layer F.Fab) (width 0.1)) + (fp_line (start 6.6 -5.1) (end -6.6 -5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.6 5.1) (end 6.6 -5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.6 5.1) (end 6.6 5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.6 -5.1) (end -6.6 5.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.95 -3.2) (end 5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 -3.2) (end -5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 4.4) (end 5.95 4.4) (layer F.Fab) (width 0.1)) + (fp_line (start -4.34 4.51) (end 4.34 4.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.04 -1.71) (end 3.76 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.04 -3.31) (end 5.04 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.06 -3.31) (end 5.04 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.06 0.94) (end 6.06 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.76 -1.71) (end -3.76 -4.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.04 -1.71) (end -3.76 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.04 -3.31) (end -5.04 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.06 -3.31) (end -5.04 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.06 0.94) (end -6.06 -3.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.15 -3.2) (end 5.95 -3.2) (layer F.Fab) (width 0.1)) + (fp_line (start 5.15 -1.6) (end 5.15 -3.2) (layer F.Fab) (width 0.1)) + (fp_line (start -5.15 -1.6) (end 5.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -5.15 -3.2) (end -5.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95 -3.2) (end -5.15 -3.2) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 smd roundrect (at -3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 76 "Net-(J2-Pad1)")) + (pad 2 smd roundrect (at -1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 75 UART_RX)) + (pad 3 smd roundrect (at 1 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 74 UART_TX)) + (pad 4 smd roundrect (at 3 -2.85 180) (size 1 3.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad MP smd roundrect (at -5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.1666666666666667)) + (pad MP smd roundrect (at 5.35 2.9 180) (size 1.5 3.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.1666666666666667)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KIPRJMOD}/3d-models/S4B-PH-SM4-TB.stp + (offset (xyz 0 -4.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module Connector_FFC-FPC:Molex_200528-0040_1x04-1MP_P1.00mm_Horizontal (layer F.Cu) (tedit 5C60BCA5) (tstamp 618EA63E) + (at 138.3 49.2 180) + (descr "Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0040, 4 Circuits (https://www.molex.com/pdm_docs/sd/2005280040_sd.pdf), generated with kicad-footprint-generator") + (tags "connector Molex top entry") + (path /6195BBBB/5D0C1E6C) + (attr smd) + (fp_text reference J3 (at 5.4 -0.45 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AUX (at 0 5.39) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.8 -1.91) (end -5.8 -1.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.8 4.69) (end 5.8 -1.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.8 4.69) (end 5.8 4.69) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.8 -1.91) (end -5.8 4.69) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.94 -0.82) (end 1.96 -0.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.94 -1.17) (end 3.94 -0.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.71 -1.17) (end 3.94 -1.17) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.71 0.88) (end 4.71 -1.17) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.71 3) (end 4.71 2.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.91 3) (end 4.71 3) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.91 4.3) (end 3.91 3) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.91 4.3) (end 3.91 4.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.91 3) (end -3.91 4.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.71 3) (end -3.91 3) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.71 2.7) (end -4.71 3) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.71 -1.17) (end -4.71 0.88) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 -1.17) (end -4.71 -1.17) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 -0.82) (end -3.94 -1.17) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.96 -0.82) (end -3.94 -0.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.96 -1.41) (end -1.96 -0.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.8 4.19) (end 3.8 0.19) (layer F.Fab) (width 0.1)) + (fp_line (start -3.8 4.19) (end 3.8 4.19) (layer F.Fab) (width 0.1)) + (fp_line (start -3.8 0.19) (end -3.8 4.19) (layer F.Fab) (width 0.1)) + (fp_line (start 3.8 0.19) (end -3.8 0.19) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 0.04) (end -1 -0.71) (layer F.Fab) (width 0.1)) + (fp_line (start -2 -0.71) (end -1.5 0.04) (layer F.Fab) (width 0.1)) + (fp_line (start 4.6 -1.06) (end 4.05 -1.06) (layer F.Fab) (width 0.1)) + (fp_line (start 4.6 2.89) (end 4.6 -1.06) (layer F.Fab) (width 0.1)) + (fp_line (start -4.6 2.89) (end 4.6 2.89) (layer F.Fab) (width 0.1)) + (fp_line (start -4.6 -1.06) (end -4.6 2.89) (layer F.Fab) (width 0.1)) + (fp_line (start -4.05 -1.06) (end -4.6 -1.06) (layer F.Fab) (width 0.1)) + (fp_line (start -4.05 -0.71) (end -4.05 -1.06) (layer F.Fab) (width 0.1)) + (fp_line (start 4.05 -0.71) (end -4.05 -0.71) (layer F.Fab) (width 0.1)) + (fp_line (start 4.05 -1.06) (end 4.05 -0.71) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 1.39) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad MP smd rect (at -4.3 1.79 180) (size 2 1.3) (layers F.Cu F.Paste F.Mask)) + (pad MP smd rect (at 4.3 1.79 180) (size 2 1.3) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -1.5 -0.91 180) (size 0.4 1) (layers F.Cu F.Paste F.Mask) + (net 3 +3V3)) + (pad 2 smd rect (at -0.5 -0.91 180) (size 0.4 1) (layers F.Cu F.Paste F.Mask) + (net 78 DISP_SCL)) + (pad 3 smd rect (at 0.5 -0.91 180) (size 0.4 1) (layers F.Cu F.Paste F.Mask) + (net 77 DISP_SDA)) + (pad 4 smd rect (at 1.5 -0.91 180) (size 0.4 1) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (model ${KISYS3DMOD}/Connector_FFC-FPC.3dshapes/Molex_200528-0040_1x04-1MP_P1.00mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KIPRJMOD}/3d-models/2005280040.stp + (offset (xyz 0 1 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 180 0 0)) + ) + ) + + (module Package_QFP:TQFP-44_10x10mm_P0.8mm (layer B.Cu) (tedit 5A02F146) (tstamp 61985BEC) + (at 148.15 49.5 90) + (descr "44-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1.0 mm Body [TQFP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "QFP 0.8") + (path /6195BBBB/5A21306C) + (attr smd) + (fp_text reference U2 (at 0 7.450001 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value ATMEGA32U4-AU (at 0 -7.450001 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -4 5) (end 5 5) (layer B.Fab) (width 0.15)) + (fp_line (start 5 5) (end 5 -5) (layer B.Fab) (width 0.15)) + (fp_line (start 5 -5) (end -5 -5) (layer B.Fab) (width 0.15)) + (fp_line (start -5 -5) (end -5 4) (layer B.Fab) (width 0.15)) + (fp_line (start -5 4) (end -4 5) (layer B.Fab) (width 0.15)) + (fp_line (start -6.7 6.7) (end -6.7 -6.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 6.7 6.7) (end 6.7 -6.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -6.7 6.7) (end 6.7 6.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -6.7 -6.7) (end 6.7 -6.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -5.175 5.175) (end -5.175 4.6) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.175 5.175) (end 5.175 4.5) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.175 -5.175) (end 5.175 -4.5) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.175 -5.175) (end -5.175 -4.5) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.175 5.175) (end -4.5 5.175) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.175 -5.175) (end -4.5 -5.175) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.175 -5.175) (end 4.5 -5.175) (layer B.SilkS) (width 0.15)) + (fp_line (start 5.175 5.175) (end 4.5 5.175) (layer B.SilkS) (width 0.15)) + (fp_line (start -5.175 4.6) (end -6.45 4.6) (layer B.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 1 smd rect (at -5.7 4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 86 COL3)) + (pad 2 smd rect (at -5.7 3.2 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 2 +5V)) + (pad 3 smd rect (at -5.7 2.4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 83 "/Pocket Reform KBD MCU/UD-")) + (pad 4 smd rect (at -5.7 1.6 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 82 "/Pocket Reform KBD MCU/UD+")) + (pad 5 smd rect (at -5.7 0.8 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 6 smd rect (at -5.7 0 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 6 "Net-(C8-Pad1)")) + (pad 7 smd rect (at -5.7 -0.8 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 2 +5V)) + (pad 8 smd rect (at -5.7 -1.6 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 96 "Net-(U2-Pad8)")) + (pad 9 smd rect (at -5.7 -2.4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 97 "Net-(U2-Pad9)")) + (pad 10 smd rect (at -5.7 -3.2 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 98 "Net-(U2-Pad10)")) + (pad 11 smd rect (at -5.7 -4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 99 "Net-(U2-Pad11)")) + (pad 12 smd rect (at -4 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 95 COL12)) + (pad 13 smd rect (at -3.2 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 80 RESET)) + (pad 14 smd rect (at -2.4 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 3 +3V3)) + (pad 15 smd rect (at -1.6 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 16 smd rect (at -0.8 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 5 "Net-(C7-Pad1)")) + (pad 17 smd rect (at 0 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 4 "Net-(C6-Pad1)")) + (pad 18 smd rect (at 0.8 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 78 DISP_SCL)) + (pad 19 smd rect (at 1.6 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 77 DISP_SDA)) + (pad 20 smd rect (at 2.4 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 75 UART_RX)) + (pad 21 smd rect (at 3.2 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 74 UART_TX)) + (pad 22 smd rect (at 4 -5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 84 COL1)) + (pad 23 smd rect (at 5.7 -4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 24 smd rect (at 5.7 -3.2 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 3 +3V3)) + (pad 25 smd rect (at 5.7 -2.4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 89 COL6)) + (pad 26 smd rect (at 5.7 -1.6 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 60 ROW5)) + (pad 27 smd rect (at 5.7 -0.8 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 47 ROW4)) + (pad 28 smd rect (at 5.7 0 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 34 ROW3)) + (pad 29 smd rect (at 5.7 0.8 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 21 ROW2)) + (pad 30 smd rect (at 5.7 1.6 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 8 ROW1)) + (pad 31 smd rect (at 5.7 2.4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 88 COL5)) + (pad 32 smd rect (at 5.7 3.2 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 87 COL4)) + (pad 33 smd rect (at 5.7 4 90) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 79 PROG)) + (pad 34 smd rect (at 4 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 3 +3V3)) + (pad 35 smd rect (at 3.2 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 36 smd rect (at 2.4 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 85 COL2)) + (pad 37 smd rect (at 1.6 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 90 COL7)) + (pad 38 smd rect (at 0.8 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 91 COL8)) + (pad 39 smd rect (at 0 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 92 COL9)) + (pad 40 smd rect (at -0.8 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 93 COL10)) + (pad 41 smd rect (at -1.6 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 94 COL11)) + (pad 42 smd rect (at -2.4 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 81 "Net-(R3-Pad1)")) + (pad 43 smd rect (at -3.2 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 1 GND)) + (pad 44 smd rect (at -4 5.7) (size 1.5 0.55) (layers B.Cu B.Paste B.Mask) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-44_10x10mm_P0.8mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA5B6) + (at 156.9 42.5 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/609DCF8E) + (attr smd) + (fp_text reference R1 (at 2.5 0) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0 (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 2 smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 79 PROG)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA5A6) + (at 160.15 53.25 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /6195BBBB/5A21443A) + (attr smd) + (fp_text reference R2 (at -2 0 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 80 RESET)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA595) + (at 139.15 56 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5A20764E) + (attr smd) + (fp_text reference C8 (at -2.25 -0.25) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 6 "Net-(C8-Pad1)")) + (pad 2 smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA585) + (at 138.9 44.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5A2073AA) + (attr smd) + (fp_text reference C6 (at -1.95 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 18pF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 4 "Net-(C6-Pad1)")) + (pad 2 smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA575) + (at 140 53.5 270) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5DC43CAF) + (attr smd) + (fp_text reference C5 (at 0 -1.15 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA565) + (at 138.25 53.5 270) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5A2148C0) + (attr smd) + (fp_text reference C4 (at 1.75 1.1 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA554) + (at 164 45.5 270) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5A214A09) + (attr smd) + (fp_text reference C3 (at -2.5 -0.25 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA543) + (at 158.15 45 270) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5F223065) + (attr smd) + (fp_text reference C2 (at 2.5 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.43 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 618EA52F) + (at 138.95 51 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6195BBBB/5A2073F7) + (attr smd) + (fp_text reference C7 (at -1.9 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 18pF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 "Net-(C7-Pad1)")) + (pad 2 smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1D9C) + (at 232.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /6192CF7A) + (fp_text reference SW60 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 95 COL12)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 71 "Net-(D60-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F12C8) + (at 217.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB95) + (fp_text reference SW59 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 94 COL11)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 70 "Net-(D59-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1F40) + (at 201.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB82) + (fp_text reference SW58 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 93 COL10)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 69 "Net-(D58-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1568) + (at 186.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB7C) + (fp_text reference SW57 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 92 COL9)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 68 "Net-(D57-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0D88) + (at 170.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB69) + (fp_text reference SW56 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 91 COL8)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 67 "Net-(D56-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0CE0) + (at 155.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB63) + (fp_text reference SW55 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 90 COL7)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 66 "Net-(D55-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F15BC) + (at 139.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB50) + (fp_text reference SW54 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 89 COL6)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 65 "Net-(D54-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0DDC) + (at 124.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB4A) + (fp_text reference SW53 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 88 COL5)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 64 "Net-(D53-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1958) + (at 108.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB37) + (fp_text reference SW52 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 87 COL4)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 63 "Net-(D52-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F19AC) + (at 93.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB31) + (fp_text reference SW51 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 86 COL3)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 62 "Net-(D51-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0E30) + (at 77.95 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB1D) + (fp_text reference SW50 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 85 COL2)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 61 "Net-(D50-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1E98) + (at 62.45 121.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCB17) + (fp_text reference SW49 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 84 COL1)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 59 "Net-(D49-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1664) + (at 232.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /6192CF62) + (fp_text reference SW48 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 95 COL12)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 58 "Net-(D48-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1178) + (at 217.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCACC) + (fp_text reference SW47 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 94 COL11)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 57 "Net-(D47-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1220) + (at 201.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCAC6) + (fp_text reference SW46 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 93 COL10)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 56 "Net-(D46-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1028) + (at 186.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCAB3) + (fp_text reference SW45 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 92 COL9)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 55 "Net-(D45-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0F80) + (at 170.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCAAD) + (fp_text reference SW44 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 91 COL8)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 54 "Net-(D44-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1BF8) + (at 155.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA9A) + (fp_text reference SW43 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 90 COL7)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 53 "Net-(D43-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1124) + (at 139.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA94) + (fp_text reference SW42 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 89 COL6)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 52 "Net-(D42-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1808) + (at 124.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA81) + (fp_text reference SW41 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 88 COL5)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 51 "Net-(D41-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1D48) + (at 108.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA7B) + (fp_text reference SW40 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 87 COL4)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 50 "Net-(D40-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F16B8) + (at 93.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA67) + (fp_text reference SW39 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 86 COL3)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 49 "Net-(D39-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1DF0) + (at 77.95 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DCA61) + (fp_text reference SW38 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 85 COL2)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 48 "Net-(D38-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1370) + (at 62.45 106.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBBA0) + (fp_text reference SW37 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 84 COL1)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 46 "Net-(D37-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1904) + (at 232.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /6192CF4A) + (fp_text reference SW36 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 95 COL12)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 45 "Net-(D36-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1E44) + (at 217.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB6E) + (fp_text reference SW35 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 94 COL11)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 44 "Net-(D35-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1A00) + (at 201.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB68) + (fp_text reference SW34 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 93 COL10)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 43 "Net-(D34-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F10D0) + (at 186.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB55) + (fp_text reference SW33 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 92 COL9)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 42 "Net-(D33-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1514) + (at 170.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB4F) + (fp_text reference SW32 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 91 COL8)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 41 "Net-(D32-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1C4C) + (at 155.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB3C) + (fp_text reference SW31 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 90 COL7)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 40 "Net-(D31-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1A54) + (at 139.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB36) + (fp_text reference SW30 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 89 COL6)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 39 "Net-(D30-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0F2C) + (at 124.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB23) + (fp_text reference SW29 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 88 COL5)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 38 "Net-(D29-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1F94) + (at 108.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB1D) + (fp_text reference SW28 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 87 COL4)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 37 "Net-(D28-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F17B4) + (at 93.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB09) + (fp_text reference SW27 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 86 COL3)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 36 "Net-(D27-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F14C0) + (at 77.95 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DBB03) + (fp_text reference SW26 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 85 COL2)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 35 "Net-(D26-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F203C) + (at 62.45 90.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DACC2) + (fp_text reference SW25 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 84 COL1)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 33 "Net-(D25-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F107C) + (at 232.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /6192CF32) + (fp_text reference SW24 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 95 COL12)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 32 "Net-(D24-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1760) + (at 217.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC90) + (fp_text reference SW23 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 94 COL11)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 31 "Net-(D23-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F13C4) + (at 201.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC8A) + (fp_text reference SW22 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 93 COL10)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 30 "Net-(D22-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F185C) + (at 186.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC77) + (fp_text reference SW21 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 92 COL9)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 29 "Net-(D21-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1274) + (at 170.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC71) + (fp_text reference SW20 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 91 COL8)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 28 "Net-(D20-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F11CC) + (at 155.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC5E) + (fp_text reference SW19 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 90 COL7)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 27 "Net-(D19-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F170C) + (at 139.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC58) + (fp_text reference SW18 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 89 COL6)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 26 "Net-(D18-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F18B0) + (at 124.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC45) + (fp_text reference SW17 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 88 COL5)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 25 "Net-(D17-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0ED8) + (at 108.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC3F) + (fp_text reference SW16 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 87 COL4)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 24 "Net-(D16-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1EEC) + (at 93.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC2B) + (fp_text reference SW15 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 86 COL3)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 23 "Net-(D15-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1AA8) + (at 77.95 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594DAC25) + (fp_text reference SW14 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 85 COL2)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 22 "Net-(D14-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1418) + (at 62.45 75.3) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594EABB7) + (fp_text reference SW13 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 84 COL1)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 20 "Net-(D13-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0FD4) + (at 232.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /6192C6E2) + (fp_text reference SW12 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 95 COL12)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 19 "Net-(D12-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1B50) + (at 217.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D96F1) + (fp_text reference SW11 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 94 COL11)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 18 "Net-(D11-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0D34) + (at 201.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D96DD) + (fp_text reference SW10 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 93 COL10)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 17 "Net-(D10-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F131C) + (at 186.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D96D7) + (fp_text reference SW9 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 92 COL9)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 16 "Net-(D9-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1CA0) + (at 170.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D8B5E) + (fp_text reference SW8 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 91 COL8)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 15 "Net-(D8-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1FE8) + (at 155.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D8B58) + (fp_text reference SW7 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 90 COL7)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 14 "Net-(D7-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F0E84) + (at 139.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D8B44) + (fp_text reference SW6 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 89 COL6)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 13 "Net-(D6-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1BA4) + (at 124.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D8B3E) + (fp_text reference SW5 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 88 COL5)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 12 "Net-(D5-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1610) + (at 108.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D8732) + (fp_text reference SW4 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 87 COL4)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 11 "Net-(D4-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1AFC) + (at 93.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D872C) + (fp_text reference SW3 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 86 COL3)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 10 "Net-(D3-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F146C) + (at 77.95 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D7E8E) + (fp_text reference SW2 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 85 COL2)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 9 "Net-(D2-Pad2)")) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (module keyswitches:PG1350 (layer F.Cu) (tedit 5F0F4E4B) (tstamp 618F1CF4) + (at 62.45 59.8) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags kailh,choc) + (path /594D7DFA) + (fp_text reference SW1 (at 0 8.3) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Choc (at 0 -8.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -7.5 7.5) (end -7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 7.5) (end -7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start 7.5 -7.5) (end 7.5 7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -7.5 -7.5) (end 7.5 -7.5) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 6.9 -6.9) (end -6.9 -6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start -6.9 6.9) (end 6.9 6.9) (layer Eco2.User) (width 0.15)) + (fp_line (start 7 -7) (end 7 -6) (layer B.SilkS) (width 0.15)) + (fp_line (start 6 -7) (end 7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 7) (end 6 7) (layer B.SilkS) (width 0.15)) + (fp_line (start 7 6) (end 7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 7) (end -7 6) (layer B.SilkS) (width 0.15)) + (fp_line (start -6 7) (end -7 7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -7) (end -6 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -7 -6) (end -7 -7) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.6 -3.1) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -6.3) (end -2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start 2.6 -3.1) (end 2.6 -6.3) (layer Eco2.User) (width 0.15)) + (fp_line (start -2.6 -3.1) (end 2.6 -3.1) (layer Eco2.User) (width 0.15)) + (pad "" np_thru_hole circle (at 0 0) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask)) + (pad 2 thru_hole circle (at -5 3.8) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 7 "Net-(D1-Pad2)")) + (pad 1 thru_hole circle (at 0 5.9) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 84 COL1)) + (pad "" np_thru_hole circle (at 5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5.5 0) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask)) + (model ${KIPRJMOD}/3d-models/Kailh_keycap-switch_system_1x1.wrl + (offset (xyz 0 0 7.1)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz -90 0 0)) + ) + ) + + (dimension 66.65 (width 0.15) (layer Dwgs.User) + (gr_text "66.650 mm" (at 83.825 39.800001) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 50.5 42) (xy 50.5 40.51358))) + (feature2 (pts (xy 117.15 42) (xy 117.15 40.51358))) + (crossbar (pts (xy 117.15 41.100001) (xy 50.5 41.100001))) + (arrow1a (pts (xy 50.5 41.100001) (xy 51.626504 40.51358))) + (arrow1b (pts (xy 50.5 41.100001) (xy 51.626504 41.686422))) + (arrow2a (pts (xy 117.15 41.100001) (xy 116.023496 40.51358))) + (arrow2b (pts (xy 117.15 41.100001) (xy 116.023496 41.686422))) + ) + (dimension 61 (width 0.15) (layer Dwgs.User) + (gr_text "61.000 mm" (at 147.65 38.2) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 178.15 42) (xy 178.15 38.913579))) + (feature2 (pts (xy 117.15 42) (xy 117.15 38.913579))) + (crossbar (pts (xy 117.15 39.5) (xy 178.15 39.5))) + (arrow1a (pts (xy 178.15 39.5) (xy 177.023496 40.086421))) + (arrow1b (pts (xy 178.15 39.5) (xy 177.023496 38.913579))) + (arrow2a (pts (xy 117.15 39.5) (xy 118.276504 40.086421))) + (arrow2b (pts (xy 117.15 39.5) (xy 118.276504 38.913579))) + ) + (dimension 9.4 (width 0.15) (layer Dwgs.User) + (gr_text "9.400 mm" (at 185.9 45.7 90) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 179.15 41) (xy 185.186421 41))) + (feature2 (pts (xy 179.15 50.4) (xy 185.186421 50.4))) + (crossbar (pts (xy 184.6 50.4) (xy 184.6 41))) + (arrow1a (pts (xy 184.6 41) (xy 185.186421 42.126504))) + (arrow1b (pts (xy 184.6 41) (xy 184.013579 42.126504))) + (arrow2a (pts (xy 184.6 50.4) (xy 185.186421 49.273496))) + (arrow2b (pts (xy 184.6 50.4) (xy 184.013579 49.273496))) + ) + (dimension 2.6 (width 0.15) (layer Dwgs.User) + (gr_text "2.600 mm" (at 47.6 129.9 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 53 131.2) (xy 48.313579 131.2))) + (feature2 (pts (xy 53 128.6) (xy 48.313579 128.6))) + (crossbar (pts (xy 48.9 128.6) (xy 48.9 131.2))) + (arrow1a (pts (xy 48.9 131.2) (xy 48.313579 130.073496))) + (arrow1b (pts (xy 48.9 131.2) (xy 49.486421 130.073496))) + (arrow2a (pts (xy 48.9 128.6) (xy 48.313579 129.726504))) + (arrow2b (pts (xy 48.9 128.6) (xy 49.486421 129.726504))) + ) + (dimension 2.6 (width 0.15) (layer Dwgs.User) + (gr_text "2.600 mm" (at 48.8 51.7 90) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 53 50.4) (xy 49.513579 50.4))) + (feature2 (pts (xy 53 53) (xy 49.513579 53))) + (crossbar (pts (xy 50.1 53) (xy 50.1 50.4))) + (arrow1a (pts (xy 50.1 50.4) (xy 50.686421 51.526504))) + (arrow1b (pts (xy 50.1 50.4) (xy 49.513579 51.526504))) + (arrow2a (pts (xy 50.1 53) (xy 50.686421 51.873496))) + (arrow2b (pts (xy 50.1 53) (xy 49.513579 51.873496))) + ) + (gr_line (start 244.9 51.4) (end 243.9 50.4) (layer Edge.Cuts) (width 0.1) (tstamp 619889D2)) + (gr_line (start 51.5 131.2) (end 50.5 130.2) (layer Edge.Cuts) (width 0.1) (tstamp 619889D2)) + (gr_line (start 243.9 131.2) (end 244.9 130.2) (layer Edge.Cuts) (width 0.1) (tstamp 619889D2)) + (gr_line (start 50.5 51.4) (end 51.5 50.4) (layer Edge.Cuts) (width 0.1) (tstamp 619889C6)) + (gr_line (start 116.15 50.4) (end 117.15 49.4) (layer Edge.Cuts) (width 0.1) (tstamp 61987EDF)) + (gr_line (start 179.15 50.4) (end 178.15 49.4) (layer Edge.Cuts) (width 0.1) (tstamp 61987ED2)) + (gr_line (start 243.9 50.4) (end 179.15 50.4) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 178.15 42) (end 177.15 41) (layer Edge.Cuts) (width 0.1) (tstamp 618EA7F3)) + (gr_line (start 178.15 42) (end 178.15 49.4) (layer Edge.Cuts) (width 0.1) (tstamp 618EA63D)) + (gr_line (start 118.15 41) (end 177.15 41) (layer Edge.Cuts) (width 0.1) (tstamp 618EA529)) + (gr_line (start 117.15 49.4) (end 117.15 42) (layer Edge.Cuts) (width 0.1) (tstamp 618EA528)) + (gr_line (start 117.15 42) (end 118.15 41) (layer Edge.Cuts) (width 0.1) (tstamp 618EA527)) + (dimension 15.5 (width 0.15) (layer Dwgs.User) + (gr_text "15.500 mm" (at 46.4 67.55 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 62.45 75.3) (xy 47.113579 75.3))) + (feature2 (pts (xy 62.45 59.8) (xy 47.113579 59.8))) + (crossbar (pts (xy 47.7 59.8) (xy 47.7 75.3))) + (arrow1a (pts (xy 47.7 75.3) (xy 47.113579 74.173496))) + (arrow1b (pts (xy 47.7 75.3) (xy 48.286421 74.173496))) + (arrow2a (pts (xy 47.7 59.8) (xy 47.113579 60.926504))) + (arrow2b (pts (xy 47.7 59.8) (xy 48.286421 60.926504))) + ) + (dimension 15.5 (width 0.15) (layer Dwgs.User) + (gr_text "15.500 mm" (at 85.7 43.95) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 93.45 59.8) (xy 93.45 44.663579))) + (feature2 (pts (xy 77.95 59.8) (xy 77.95 44.663579))) + (crossbar (pts (xy 77.95 45.25) (xy 93.45 45.25))) + (arrow1a (pts (xy 93.45 45.25) (xy 92.323496 45.836421))) + (arrow1b (pts (xy 93.45 45.25) (xy 92.323496 44.663579))) + (arrow2a (pts (xy 77.95 45.25) (xy 79.076504 45.836421))) + (arrow2b (pts (xy 77.95 45.25) (xy 79.076504 44.663579))) + ) + (dimension 80.8 (width 0.15) (layer Dwgs.User) + (gr_text "80.800 mm" (at 250.9 90.8 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 243.2 131.2) (xy 250.186421 131.2))) + (feature2 (pts (xy 243.2 50.4) (xy 250.186421 50.4))) + (crossbar (pts (xy 249.6 50.4) (xy 249.6 131.2))) + (arrow1a (pts (xy 249.6 131.2) (xy 249.013579 130.073496))) + (arrow1b (pts (xy 249.6 131.2) (xy 250.186421 130.073496))) + (arrow2a (pts (xy 249.6 50.4) (xy 249.013579 51.526504))) + (arrow2b (pts (xy 249.6 50.4) (xy 250.186421 51.526504))) + ) + (dimension 194.4 (width 0.15) (layer Dwgs.User) + (gr_text "194.400 mm" (at 147.7 36.2) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 244.9 57.6) (xy 244.9 36.913579))) + (feature2 (pts (xy 50.5 57.6) (xy 50.5 36.913579))) + (crossbar (pts (xy 50.5 37.5) (xy 244.9 37.5))) + (arrow1a (pts (xy 244.9 37.5) (xy 243.773496 38.086421))) + (arrow1b (pts (xy 244.9 37.5) (xy 243.773496 36.913579))) + (arrow2a (pts (xy 50.5 37.5) (xy 51.626504 38.086421))) + (arrow2b (pts (xy 50.5 37.5) (xy 51.626504 36.913579))) + ) + (dimension 1.9 (width 0.15) (layer Dwgs.User) + (gr_text "1.900 mm" (at 43.3 130.25 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 55.8 131.2) (xy 44.013579 131.2))) + (feature2 (pts (xy 55.8 129.3) (xy 44.013579 129.3))) + (crossbar (pts (xy 44.6 129.3) (xy 44.6 131.2))) + (arrow1a (pts (xy 44.6 131.2) (xy 44.013579 130.073496))) + (arrow1b (pts (xy 44.6 131.2) (xy 45.186421 130.073496))) + (arrow2a (pts (xy 44.6 129.3) (xy 44.013579 130.426504))) + (arrow2b (pts (xy 44.6 129.3) (xy 45.186421 130.426504))) + ) + (dimension 1.9 (width 0.15) (layer Dwgs.User) + (gr_text "1.900 mm" (at 46 51.35 90) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 56.8 50.4) (xy 46.713579 50.4))) + (feature2 (pts (xy 56.8 52.3) (xy 46.713579 52.3))) + (crossbar (pts (xy 47.3 52.3) (xy 47.3 50.4))) + (arrow1a (pts (xy 47.3 50.4) (xy 47.886421 51.526504))) + (arrow1b (pts (xy 47.3 50.4) (xy 46.713579 51.526504))) + (arrow2a (pts (xy 47.3 52.3) (xy 47.886421 51.173496))) + (arrow2b (pts (xy 47.3 52.3) (xy 46.713579 51.173496))) + ) + (dimension 4.45 (width 0.15) (layer Dwgs.User) + (gr_text "4.450 mm" (at 242.675 45.3) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 244.9 55.35) (xy 244.9 46.013579))) + (feature2 (pts (xy 240.45 55.35) (xy 240.45 46.013579))) + (crossbar (pts (xy 240.45 46.6) (xy 244.9 46.6))) + (arrow1a (pts (xy 244.9 46.6) (xy 243.773496 47.186421))) + (arrow1b (pts (xy 244.9 46.6) (xy 243.773496 46.013579))) + (arrow2a (pts (xy 240.45 46.6) (xy 241.576504 47.186421))) + (arrow2b (pts (xy 240.45 46.6) (xy 241.576504 46.013579))) + ) + (dimension 4.45 (width 0.15) (layer Dwgs.User) + (gr_text "4.450 mm" (at 52.725 44.6) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 50.5 55.3) (xy 50.5 45.313579))) + (feature2 (pts (xy 54.95 55.3) (xy 54.95 45.313579))) + (crossbar (pts (xy 54.95 45.9) (xy 50.5 45.9))) + (arrow1a (pts (xy 50.5 45.9) (xy 51.626504 45.313579))) + (arrow1b (pts (xy 50.5 45.9) (xy 51.626504 46.486421))) + (arrow2a (pts (xy 54.95 45.9) (xy 53.823496 45.313579))) + (arrow2b (pts (xy 54.95 45.9) (xy 53.823496 46.486421))) + ) + (gr_line (start 50.5 130.2) (end 50.5 51.4) (layer Edge.Cuts) (width 0.1) (tstamp 618EE5DE)) + (gr_line (start 243.9 131.2) (end 51.5 131.2) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 244.9 51.4) (end 244.9 130.2) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.5 50.4) (end 116.15 50.4) (layer Edge.Cuts) (width 0.1)) + +) diff --git a/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.pro b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.pro new file mode 100644 index 0000000..152769c --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.pro @@ -0,0 +1,33 @@ +update=22/05/2015 07:44:53 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] diff --git a/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.sch b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.sch new file mode 100644 index 0000000..b26243d --- /dev/null +++ b/pocket-reform-keyboard-kailh-ortho/pocket-reform-keyboard-kailh-ortho.sch @@ -0,0 +1,2141 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A3 16535 11693 +encoding utf-8 +Sheet 1 2 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L mntcomp-keyboard:Choc SW1 +U 1 1 594D7DFA +P 3200 3500 +F 0 "SW1" H 3491 3621 50 0000 L CNN +F 1 "Choc" H 3491 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 3200 3500 50 0001 C CNN +F 3 "" H 3200 3500 50 0000 C CNN +F 4 "Kailh" H 3200 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 3200 3500 50 0001 C CNN "Manufacturer_No" + 1 3200 3500 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW2 +U 1 1 594D7E8E +P 4050 3500 +F 0 "SW2" H 4341 3621 50 0000 L CNN +F 1 "Choc" H 4341 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4050 3500 50 0001 C CNN +F 3 "" H 4050 3500 50 0000 C CNN +F 4 "Kailh" H 4050 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4050 3500 50 0001 C CNN "Manufacturer_No" + 1 4050 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D1 +U 1 1 594D7FFC +P 3200 3800 +F 0 "D1" V 3246 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 3155 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3200 3800 50 0001 C CNN +F 3 "" V 3200 3800 50 0000 C CNN +F 4 "ON" H 3200 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 3200 3800 50 0001 C CNN "Manufacturer_No" + 1 3200 3800 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D2 +U 1 1 594D80D3 +P 4050 3800 +F 0 "D2" V 4096 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 4005 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4050 3800 50 0001 C CNN +F 3 "" V 4050 3800 50 0000 C CNN +F 4 "ON" H 4050 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4050 3800 50 0001 C CNN "Manufacturer_No" + 1 4050 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW3 +U 1 1 594D872C +P 4900 3500 +F 0 "SW3" H 5191 3621 50 0000 L CNN +F 1 "Choc" H 5191 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4900 3500 50 0001 C CNN +F 3 "" H 4900 3500 50 0000 C CNN +F 4 "Kailh" H 4900 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4900 3500 50 0001 C CNN "Manufacturer_No" + 1 4900 3500 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW4 +U 1 1 594D8732 +P 5750 3500 +F 0 "SW4" H 6041 3621 50 0000 L CNN +F 1 "Choc" H 6041 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 5750 3500 50 0001 C CNN +F 3 "" H 5750 3500 50 0000 C CNN +F 4 "Kailh" H 5750 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 5750 3500 50 0001 C CNN "Manufacturer_No" + 1 5750 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D3 +U 1 1 594D873A +P 4900 3800 +F 0 "D3" V 4946 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 4855 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4900 3800 50 0001 C CNN +F 3 "" V 4900 3800 50 0000 C CNN +F 4 "ON" H 4900 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4900 3800 50 0001 C CNN "Manufacturer_No" + 1 4900 3800 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D4 +U 1 1 594D8740 +P 5750 3800 +F 0 "D4" V 5796 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 5705 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5750 3800 50 0001 C CNN +F 3 "" V 5750 3800 50 0000 C CNN +F 4 "ON" H 5750 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 5750 3800 50 0001 C CNN "Manufacturer_No" + 1 5750 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW5 +U 1 1 594D8B3E +P 6600 3500 +F 0 "SW5" H 6891 3621 50 0000 L CNN +F 1 "Choc" H 6891 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 6600 3500 50 0001 C CNN +F 3 "" H 6600 3500 50 0000 C CNN +F 4 "Kailh" H 6600 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 6600 3500 50 0001 C CNN "Manufacturer_No" + 1 6600 3500 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW6 +U 1 1 594D8B44 +P 7450 3500 +F 0 "SW6" H 7741 3621 50 0000 L CNN +F 1 "Choc" H 7741 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 7450 3500 50 0001 C CNN +F 3 "" H 7450 3500 50 0000 C CNN +F 4 "Kailh" H 7450 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 7450 3500 50 0001 C CNN "Manufacturer_No" + 1 7450 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D5 +U 1 1 594D8B4C +P 6600 3800 +F 0 "D5" V 6646 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 6555 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6600 3800 50 0001 C CNN +F 3 "" V 6600 3800 50 0000 C CNN +F 4 "ON" H 6600 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 6600 3800 50 0001 C CNN "Manufacturer_No" + 1 6600 3800 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D6 +U 1 1 594D8B52 +P 7450 3800 +F 0 "D6" V 7496 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 7405 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7450 3800 50 0001 C CNN +F 3 "" V 7450 3800 50 0000 C CNN +F 4 "ON" H 7450 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 7450 3800 50 0001 C CNN "Manufacturer_No" + 1 7450 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW7 +U 1 1 594D8B58 +P 8300 3500 +F 0 "SW7" H 8591 3621 50 0000 L CNN +F 1 "Choc" H 8591 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 8300 3500 50 0001 C CNN +F 3 "" H 8300 3500 50 0000 C CNN +F 4 "Kailh" H 8300 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 8300 3500 50 0001 C CNN "Manufacturer_No" + 1 8300 3500 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW8 +U 1 1 594D8B5E +P 9150 3500 +F 0 "SW8" H 9441 3621 50 0000 L CNN +F 1 "Choc" H 9441 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 9150 3500 50 0001 C CNN +F 3 "" H 9150 3500 50 0000 C CNN +F 4 "Kailh" H 9150 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 9150 3500 50 0001 C CNN "Manufacturer_No" + 1 9150 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D7 +U 1 1 594D8B66 +P 8300 3800 +F 0 "D7" V 8346 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 8255 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8300 3800 50 0001 C CNN +F 3 "" V 8300 3800 50 0000 C CNN +F 4 "ON" H 8300 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 8300 3800 50 0001 C CNN "Manufacturer_No" + 1 8300 3800 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D8 +U 1 1 594D8B6C +P 9150 3800 +F 0 "D8" V 9196 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 9105 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9150 3800 50 0001 C CNN +F 3 "" V 9150 3800 50 0000 C CNN +F 4 "ON" H 9150 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 9150 3800 50 0001 C CNN "Manufacturer_No" + 1 9150 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW9 +U 1 1 594D96D7 +P 10000 3500 +F 0 "SW9" H 10291 3621 50 0000 L CNN +F 1 "Choc" H 10291 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10000 3500 50 0001 C CNN +F 3 "" H 10000 3500 50 0000 C CNN +F 4 "Kailh" H 10000 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10000 3500 50 0001 C CNN "Manufacturer_No" + 1 10000 3500 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW10 +U 1 1 594D96DD +P 10850 3500 +F 0 "SW10" H 11141 3621 50 0000 L CNN +F 1 "Choc" H 11141 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10850 3500 50 0001 C CNN +F 3 "" H 10850 3500 50 0000 C CNN +F 4 "Kailh" H 10850 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10850 3500 50 0001 C CNN "Manufacturer_No" + 1 10850 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D9 +U 1 1 594D96E5 +P 10000 3800 +F 0 "D9" V 10046 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 9955 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10000 3800 50 0001 C CNN +F 3 "" V 10000 3800 50 0000 C CNN +F 4 "ON" H 10000 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10000 3800 50 0001 C CNN "Manufacturer_No" + 1 10000 3800 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D10 +U 1 1 594D96EB +P 10850 3800 +F 0 "D10" V 10896 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 10805 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10850 3800 50 0001 C CNN +F 3 "" V 10850 3800 50 0000 C CNN +F 4 "ON" H 10850 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10850 3800 50 0001 C CNN "Manufacturer_No" + 1 10850 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW11 +U 1 1 594D96F1 +P 11700 3500 +F 0 "SW11" H 11991 3621 50 0000 L CNN +F 1 "Choc" H 11991 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 11700 3500 50 0001 C CNN +F 3 "" H 11700 3500 50 0000 C CNN +F 4 "Kailh" H 11700 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 11700 3500 50 0001 C CNN "Manufacturer_No" + 1 11700 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D11 +U 1 1 594D96FF +P 11700 3800 +F 0 "D11" V 11746 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 11655 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 11700 3800 50 0001 C CNN +F 3 "" V 11700 3800 50 0000 C CNN +F 4 "ON" H 11700 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 11700 3800 50 0001 C CNN "Manufacturer_No" + 1 11700 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW14 +U 1 1 594DAC25 +P 4050 4600 +F 0 "SW14" H 4341 4721 50 0000 L CNN +F 1 "Choc" H 4341 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4050 4600 50 0001 C CNN +F 3 "" H 4050 4600 50 0000 C CNN +F 4 "Kailh" H 4050 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4050 4600 50 0001 C CNN "Manufacturer_No" + 1 4050 4600 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW15 +U 1 1 594DAC2B +P 4900 4600 +F 0 "SW15" H 5191 4721 50 0000 L CNN +F 1 "Choc" H 5191 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4900 4600 50 0001 C CNN +F 3 "" H 4900 4600 50 0000 C CNN +F 4 "Kailh" H 4900 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4900 4600 50 0001 C CNN "Manufacturer_No" + 1 4900 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D14 +U 1 1 594DAC33 +P 4050 4900 +F 0 "D14" V 4096 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 4005 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4050 4900 50 0001 C CNN +F 3 "" V 4050 4900 50 0000 C CNN +F 4 "ON" H 4050 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4050 4900 50 0001 C CNN "Manufacturer_No" + 1 4050 4900 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D15 +U 1 1 594DAC39 +P 4900 4900 +F 0 "D15" V 4946 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 4855 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4900 4900 50 0001 C CNN +F 3 "" V 4900 4900 50 0000 C CNN +F 4 "ON" H 4900 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4900 4900 50 0001 C CNN "Manufacturer_No" + 1 4900 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW16 +U 1 1 594DAC3F +P 5750 4600 +F 0 "SW16" H 6041 4721 50 0000 L CNN +F 1 "Choc" H 6041 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 5750 4600 50 0001 C CNN +F 3 "" H 5750 4600 50 0000 C CNN +F 4 "Kailh" H 5750 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 5750 4600 50 0001 C CNN "Manufacturer_No" + 1 5750 4600 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW17 +U 1 1 594DAC45 +P 6600 4600 +F 0 "SW17" H 6891 4721 50 0000 L CNN +F 1 "Choc" H 6891 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 6600 4600 50 0001 C CNN +F 3 "" H 6600 4600 50 0000 C CNN +F 4 "Kailh" H 6600 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 6600 4600 50 0001 C CNN "Manufacturer_No" + 1 6600 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D16 +U 1 1 594DAC4C +P 5750 4900 +F 0 "D16" V 5796 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 5705 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5750 4900 50 0001 C CNN +F 3 "" V 5750 4900 50 0000 C CNN +F 4 "ON" H 5750 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 5750 4900 50 0001 C CNN "Manufacturer_No" + 1 5750 4900 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D17 +U 1 1 594DAC52 +P 6600 4900 +F 0 "D17" V 6646 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 6555 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6600 4900 50 0001 C CNN +F 3 "" V 6600 4900 50 0000 C CNN +F 4 "ON" H 6600 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 6600 4900 50 0001 C CNN "Manufacturer_No" + 1 6600 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW18 +U 1 1 594DAC58 +P 7450 4600 +F 0 "SW18" H 7741 4721 50 0000 L CNN +F 1 "Choc" H 7741 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 7450 4600 50 0001 C CNN +F 3 "" H 7450 4600 50 0000 C CNN +F 4 "Kailh" H 7450 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 7450 4600 50 0001 C CNN "Manufacturer_No" + 1 7450 4600 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW19 +U 1 1 594DAC5E +P 8300 4600 +F 0 "SW19" H 8591 4721 50 0000 L CNN +F 1 "Choc" H 8591 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 8300 4600 50 0001 C CNN +F 3 "" H 8300 4600 50 0000 C CNN +F 4 "Kailh" H 8300 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 8300 4600 50 0001 C CNN "Manufacturer_No" + 1 8300 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D18 +U 1 1 594DAC65 +P 7450 4900 +F 0 "D18" V 7496 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 7405 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7450 4900 50 0001 C CNN +F 3 "" V 7450 4900 50 0000 C CNN +F 4 "ON" H 7450 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 7450 4900 50 0001 C CNN "Manufacturer_No" + 1 7450 4900 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D19 +U 1 1 594DAC6B +P 8300 4900 +F 0 "D19" V 8346 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 8255 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8300 4900 50 0001 C CNN +F 3 "" V 8300 4900 50 0000 C CNN +F 4 "ON" H 8300 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 8300 4900 50 0001 C CNN "Manufacturer_No" + 1 8300 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW20 +U 1 1 594DAC71 +P 9150 4600 +F 0 "SW20" H 9441 4721 50 0000 L CNN +F 1 "Choc" H 9441 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 9150 4600 50 0001 C CNN +F 3 "" H 9150 4600 50 0000 C CNN +F 4 "Kailh" H 9150 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 9150 4600 50 0001 C CNN "Manufacturer_No" + 1 9150 4600 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW21 +U 1 1 594DAC77 +P 10000 4600 +F 0 "SW21" H 10291 4721 50 0000 L CNN +F 1 "Choc" H 10291 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10000 4600 50 0001 C CNN +F 3 "" H 10000 4600 50 0000 C CNN +F 4 "Kailh" H 10000 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10000 4600 50 0001 C CNN "Manufacturer_No" + 1 10000 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D20 +U 1 1 594DAC7E +P 9150 4900 +F 0 "D20" V 9196 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 9105 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9150 4900 50 0001 C CNN +F 3 "" V 9150 4900 50 0000 C CNN +F 4 "ON" H 9150 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 9150 4900 50 0001 C CNN "Manufacturer_No" + 1 9150 4900 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D21 +U 1 1 594DAC84 +P 10000 4900 +F 0 "D21" V 10046 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 9955 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10000 4900 50 0001 C CNN +F 3 "" V 10000 4900 50 0000 C CNN +F 4 "ON" H 10000 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10000 4900 50 0001 C CNN "Manufacturer_No" + 1 10000 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW22 +U 1 1 594DAC8A +P 10850 4600 +F 0 "SW22" H 11141 4721 50 0000 L CNN +F 1 "Choc" H 11141 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10850 4600 50 0001 C CNN +F 3 "" H 10850 4600 50 0000 C CNN +F 4 "Kailh" H 10850 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10850 4600 50 0001 C CNN "Manufacturer_No" + 1 10850 4600 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW23 +U 1 1 594DAC90 +P 11700 4600 +F 0 "SW23" H 11991 4721 50 0000 L CNN +F 1 "Choc" H 11991 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 11700 4600 50 0001 C CNN +F 3 "" H 11700 4600 50 0000 C CNN +F 4 "Kailh" H 11700 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 11700 4600 50 0001 C CNN "Manufacturer_No" + 1 11700 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D22 +U 1 1 594DAC97 +P 10850 4900 +F 0 "D22" V 10896 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 10805 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10850 4900 50 0001 C CNN +F 3 "" V 10850 4900 50 0000 C CNN +F 4 "ON" H 10850 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10850 4900 50 0001 C CNN "Manufacturer_No" + 1 10850 4900 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D23 +U 1 1 594DAC9D +P 11700 4900 +F 0 "D23" V 11746 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 11655 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 11700 4900 50 0001 C CNN +F 3 "" V 11700 4900 50 0000 C CNN +F 4 "ON" H 11700 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 11700 4900 50 0001 C CNN "Manufacturer_No" + 1 11700 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW26 +U 1 1 594DBB03 +P 4050 5700 +F 0 "SW26" H 4341 5821 50 0000 L CNN +F 1 "Choc" H 4341 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4050 5700 50 0001 C CNN +F 3 "" H 4050 5700 50 0000 C CNN +F 4 "Kailh" H 4050 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4050 5700 50 0001 C CNN "Manufacturer_No" + 1 4050 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW27 +U 1 1 594DBB09 +P 4900 5700 +F 0 "SW27" H 5191 5821 50 0000 L CNN +F 1 "Choc" H 5191 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4900 5700 50 0001 C CNN +F 3 "" H 4900 5700 50 0000 C CNN +F 4 "Kailh" H 4900 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4900 5700 50 0001 C CNN "Manufacturer_No" + 1 4900 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D26 +U 1 1 594DBB11 +P 4050 6000 +F 0 "D26" V 4096 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 4005 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4050 6000 50 0001 C CNN +F 3 "" V 4050 6000 50 0000 C CNN +F 4 "ON" H 4050 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4050 6000 50 0001 C CNN "Manufacturer_No" + 1 4050 6000 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D27 +U 1 1 594DBB17 +P 4900 6000 +F 0 "D27" V 4946 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 4855 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4900 6000 50 0001 C CNN +F 3 "" V 4900 6000 50 0000 C CNN +F 4 "ON" H 4900 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4900 6000 50 0001 C CNN "Manufacturer_No" + 1 4900 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW28 +U 1 1 594DBB1D +P 5750 5700 +F 0 "SW28" H 6041 5821 50 0000 L CNN +F 1 "Choc" H 6041 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 5750 5700 50 0001 C CNN +F 3 "" H 5750 5700 50 0000 C CNN +F 4 "Kailh" H 5750 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 5750 5700 50 0001 C CNN "Manufacturer_No" + 1 5750 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW29 +U 1 1 594DBB23 +P 6600 5700 +F 0 "SW29" H 6891 5821 50 0000 L CNN +F 1 "Choc" H 6891 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 6600 5700 50 0001 C CNN +F 3 "" H 6600 5700 50 0000 C CNN +F 4 "Kailh" H 6600 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 6600 5700 50 0001 C CNN "Manufacturer_No" + 1 6600 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D28 +U 1 1 594DBB2A +P 5750 6000 +F 0 "D28" V 5796 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 5705 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5750 6000 50 0001 C CNN +F 3 "" V 5750 6000 50 0000 C CNN +F 4 "ON" H 5750 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 5750 6000 50 0001 C CNN "Manufacturer_No" + 1 5750 6000 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D29 +U 1 1 594DBB30 +P 6600 6000 +F 0 "D29" V 6646 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 6555 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6600 6000 50 0001 C CNN +F 3 "" V 6600 6000 50 0000 C CNN +F 4 "ON" H 6600 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 6600 6000 50 0001 C CNN "Manufacturer_No" + 1 6600 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW30 +U 1 1 594DBB36 +P 7450 5700 +F 0 "SW30" H 7741 5821 50 0000 L CNN +F 1 "Choc" H 7741 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 7450 5700 50 0001 C CNN +F 3 "" H 7450 5700 50 0000 C CNN +F 4 "Kailh" H 7450 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 7450 5700 50 0001 C CNN "Manufacturer_No" + 1 7450 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW31 +U 1 1 594DBB3C +P 8300 5700 +F 0 "SW31" H 8591 5821 50 0000 L CNN +F 1 "Choc" H 8591 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 8300 5700 50 0001 C CNN +F 3 "" H 8300 5700 50 0000 C CNN +F 4 "Kailh" H 8300 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 8300 5700 50 0001 C CNN "Manufacturer_No" + 1 8300 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D30 +U 1 1 594DBB43 +P 7450 6000 +F 0 "D30" V 7496 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 7405 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7450 6000 50 0001 C CNN +F 3 "" V 7450 6000 50 0000 C CNN +F 4 "ON" H 7450 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 7450 6000 50 0001 C CNN "Manufacturer_No" + 1 7450 6000 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D31 +U 1 1 594DBB49 +P 8300 6000 +F 0 "D31" V 8346 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 8255 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8300 6000 50 0001 C CNN +F 3 "" V 8300 6000 50 0000 C CNN +F 4 "ON" H 8300 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 8300 6000 50 0001 C CNN "Manufacturer_No" + 1 8300 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW32 +U 1 1 594DBB4F +P 9150 5700 +F 0 "SW32" H 9441 5821 50 0000 L CNN +F 1 "Choc" H 9441 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 9150 5700 50 0001 C CNN +F 3 "" H 9150 5700 50 0000 C CNN +F 4 "Kailh" H 9150 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 9150 5700 50 0001 C CNN "Manufacturer_No" + 1 9150 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW33 +U 1 1 594DBB55 +P 10000 5700 +F 0 "SW33" H 10291 5821 50 0000 L CNN +F 1 "Choc" H 10291 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10000 5700 50 0001 C CNN +F 3 "" H 10000 5700 50 0000 C CNN +F 4 "Kailh" H 10000 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10000 5700 50 0001 C CNN "Manufacturer_No" + 1 10000 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D32 +U 1 1 594DBB5C +P 9150 6000 +F 0 "D32" V 9196 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 9105 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9150 6000 50 0001 C CNN +F 3 "" V 9150 6000 50 0000 C CNN +F 4 "ON" H 9150 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 9150 6000 50 0001 C CNN "Manufacturer_No" + 1 9150 6000 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D33 +U 1 1 594DBB62 +P 10000 6000 +F 0 "D33" V 10046 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 9955 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10000 6000 50 0001 C CNN +F 3 "" V 10000 6000 50 0000 C CNN +F 4 "ON" H 10000 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10000 6000 50 0001 C CNN "Manufacturer_No" + 1 10000 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW34 +U 1 1 594DBB68 +P 10850 5700 +F 0 "SW34" H 11141 5821 50 0000 L CNN +F 1 "Choc" H 11141 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10850 5700 50 0001 C CNN +F 3 "" H 10850 5700 50 0000 C CNN +F 4 "Kailh" H 10850 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10850 5700 50 0001 C CNN "Manufacturer_No" + 1 10850 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW35 +U 1 1 594DBB6E +P 11700 5700 +F 0 "SW35" H 11991 5821 50 0000 L CNN +F 1 "Choc" H 11991 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 11700 5700 50 0001 C CNN +F 3 "" H 11700 5700 50 0000 C CNN +F 4 "Kailh" H 11700 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 11700 5700 50 0001 C CNN "Manufacturer_No" + 1 11700 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D34 +U 1 1 594DBB75 +P 10850 6000 +F 0 "D34" V 10896 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 10805 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10850 6000 50 0001 C CNN +F 3 "" V 10850 6000 50 0000 C CNN +F 4 "ON" H 10850 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10850 6000 50 0001 C CNN "Manufacturer_No" + 1 10850 6000 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D35 +U 1 1 594DBB7B +P 11700 6000 +F 0 "D35" V 11746 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 11655 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 11700 6000 50 0001 C CNN +F 3 "" V 11700 6000 50 0000 C CNN +F 4 "ON" H 11700 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 11700 6000 50 0001 C CNN "Manufacturer_No" + 1 11700 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW37 +U 1 1 594DBBA0 +P 3200 6750 +F 0 "SW37" H 3491 6871 50 0000 L CNN +F 1 "Choc" H 3491 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 3200 6750 50 0001 C CNN +F 3 "" H 3200 6750 50 0000 C CNN +F 4 "Kailh" H 3200 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 3200 6750 50 0001 C CNN "Manufacturer_No" + 1 3200 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D37 +U 1 1 594DBBAD +P 3200 7050 +F 0 "D37" V 3246 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 3155 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3200 7050 50 0001 C CNN +F 3 "" V 3200 7050 50 0000 C CNN +F 4 "ON" H 3200 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 3200 7050 50 0001 C CNN "Manufacturer_No" + 1 3200 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW38 +U 1 1 594DCA61 +P 4050 6750 +F 0 "SW38" H 4341 6871 50 0000 L CNN +F 1 "Choc" H 4341 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4050 6750 50 0001 C CNN +F 3 "" H 4050 6750 50 0000 C CNN +F 4 "Kailh" H 4050 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4050 6750 50 0001 C CNN "Manufacturer_No" + 1 4050 6750 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW39 +U 1 1 594DCA67 +P 4900 6750 +F 0 "SW39" H 5191 6871 50 0000 L CNN +F 1 "Choc" H 5191 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4900 6750 50 0001 C CNN +F 3 "" H 4900 6750 50 0000 C CNN +F 4 "Kailh" H 4900 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4900 6750 50 0001 C CNN "Manufacturer_No" + 1 4900 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D38 +U 1 1 594DCA6F +P 4050 7050 +F 0 "D38" V 4096 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 4005 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4050 7050 50 0001 C CNN +F 3 "" V 4050 7050 50 0000 C CNN +F 4 "ON" H 4050 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4050 7050 50 0001 C CNN "Manufacturer_No" + 1 4050 7050 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D39 +U 1 1 594DCA75 +P 4900 7050 +F 0 "D39" V 4946 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 4855 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4900 7050 50 0001 C CNN +F 3 "" V 4900 7050 50 0000 C CNN +F 4 "ON" H 4900 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4900 7050 50 0001 C CNN "Manufacturer_No" + 1 4900 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW40 +U 1 1 594DCA7B +P 5750 6750 +F 0 "SW40" H 6041 6871 50 0000 L CNN +F 1 "Choc" H 6041 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 5750 6750 50 0001 C CNN +F 3 "" H 5750 6750 50 0000 C CNN +F 4 "Kailh" H 5750 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 5750 6750 50 0001 C CNN "Manufacturer_No" + 1 5750 6750 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW41 +U 1 1 594DCA81 +P 6600 6750 +F 0 "SW41" H 6891 6871 50 0000 L CNN +F 1 "Choc" H 6891 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 6600 6750 50 0001 C CNN +F 3 "" H 6600 6750 50 0000 C CNN +F 4 "Kailh" H 6600 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 6600 6750 50 0001 C CNN "Manufacturer_No" + 1 6600 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D40 +U 1 1 594DCA88 +P 5750 7050 +F 0 "D40" V 5796 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 5705 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5750 7050 50 0001 C CNN +F 3 "" V 5750 7050 50 0000 C CNN +F 4 "ON" H 5750 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 5750 7050 50 0001 C CNN "Manufacturer_No" + 1 5750 7050 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D41 +U 1 1 594DCA8E +P 6600 7050 +F 0 "D41" V 6646 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 6555 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6600 7050 50 0001 C CNN +F 3 "" V 6600 7050 50 0000 C CNN +F 4 "ON" H 6600 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 6600 7050 50 0001 C CNN "Manufacturer_No" + 1 6600 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW42 +U 1 1 594DCA94 +P 7450 6750 +F 0 "SW42" H 7741 6871 50 0000 L CNN +F 1 "Choc" H 7741 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 7450 6750 50 0001 C CNN +F 3 "" H 7450 6750 50 0000 C CNN +F 4 "Kailh" H 7450 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 7450 6750 50 0001 C CNN "Manufacturer_No" + 1 7450 6750 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW43 +U 1 1 594DCA9A +P 8300 6750 +F 0 "SW43" H 8591 6871 50 0000 L CNN +F 1 "Choc" H 8591 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 8300 6750 50 0001 C CNN +F 3 "" H 8300 6750 50 0000 C CNN +F 4 "Kailh" H 8300 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 8300 6750 50 0001 C CNN "Manufacturer_No" + 1 8300 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D42 +U 1 1 594DCAA1 +P 7450 7050 +F 0 "D42" V 7496 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 7405 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7450 7050 50 0001 C CNN +F 3 "" V 7450 7050 50 0000 C CNN +F 4 "ON" H 7450 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 7450 7050 50 0001 C CNN "Manufacturer_No" + 1 7450 7050 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D43 +U 1 1 594DCAA7 +P 8300 7050 +F 0 "D43" V 8346 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 8255 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8300 7050 50 0001 C CNN +F 3 "" V 8300 7050 50 0000 C CNN +F 4 "ON" H 8300 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 8300 7050 50 0001 C CNN "Manufacturer_No" + 1 8300 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW44 +U 1 1 594DCAAD +P 9150 6750 +F 0 "SW44" H 9441 6871 50 0000 L CNN +F 1 "Choc" H 9441 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 9150 6750 50 0001 C CNN +F 3 "" H 9150 6750 50 0000 C CNN +F 4 "Kailh" H 9150 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 9150 6750 50 0001 C CNN "Manufacturer_No" + 1 9150 6750 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW45 +U 1 1 594DCAB3 +P 10000 6750 +F 0 "SW45" H 10291 6871 50 0000 L CNN +F 1 "Choc" H 10291 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10000 6750 50 0001 C CNN +F 3 "" H 10000 6750 50 0000 C CNN +F 4 "Kailh" H 10000 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10000 6750 50 0001 C CNN "Manufacturer_No" + 1 10000 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D44 +U 1 1 594DCABA +P 9150 7050 +F 0 "D44" V 9196 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 9105 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9150 7050 50 0001 C CNN +F 3 "" V 9150 7050 50 0000 C CNN +F 4 "ON" H 9150 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 9150 7050 50 0001 C CNN "Manufacturer_No" + 1 9150 7050 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D45 +U 1 1 594DCAC0 +P 10000 7050 +F 0 "D45" V 10046 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 9955 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10000 7050 50 0001 C CNN +F 3 "" V 10000 7050 50 0000 C CNN +F 4 "ON" H 10000 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10000 7050 50 0001 C CNN "Manufacturer_No" + 1 10000 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW46 +U 1 1 594DCAC6 +P 10850 6750 +F 0 "SW46" H 11141 6871 50 0000 L CNN +F 1 "Choc" H 11141 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10850 6750 50 0001 C CNN +F 3 "" H 10850 6750 50 0000 C CNN +F 4 "Kailh" H 10850 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10850 6750 50 0001 C CNN "Manufacturer_No" + 1 10850 6750 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW47 +U 1 1 594DCACC +P 11700 6750 +F 0 "SW47" H 11991 6871 50 0000 L CNN +F 1 "Choc" H 11991 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 11700 6750 50 0001 C CNN +F 3 "" H 11700 6750 50 0000 C CNN +F 4 "Kailh" H 11700 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 11700 6750 50 0001 C CNN "Manufacturer_No" + 1 11700 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D46 +U 1 1 594DCAD3 +P 10850 7050 +F 0 "D46" V 10896 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 10805 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10850 7050 50 0001 C CNN +F 3 "" V 10850 7050 50 0000 C CNN +F 4 "ON" H 10850 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10850 7050 50 0001 C CNN "Manufacturer_No" + 1 10850 7050 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D47 +U 1 1 594DCAD9 +P 11700 7050 +F 0 "D47" V 11746 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 11655 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 11700 7050 50 0001 C CNN +F 3 "" V 11700 7050 50 0000 C CNN +F 4 "ON" H 11700 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 11700 7050 50 0001 C CNN "Manufacturer_No" + 1 11700 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW49 +U 1 1 594DCB17 +P 3200 7850 +F 0 "SW49" H 3491 7971 50 0000 L CNN +F 1 "Choc" H 3491 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 3200 7850 50 0001 C CNN +F 3 "" H 3200 7850 50 0000 C CNN +F 4 "Kailh" H 3200 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 3200 7850 50 0001 C CNN "Manufacturer_No" + 1 3200 7850 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW50 +U 1 1 594DCB1D +P 4050 7850 +F 0 "SW50" H 4341 7971 50 0000 L CNN +F 1 "Choc" H 4341 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4050 7850 50 0001 C CNN +F 3 "" H 4050 7850 50 0000 C CNN +F 4 "Kailh" H 4050 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4050 7850 50 0001 C CNN "Manufacturer_No" + 1 4050 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D49 +U 1 1 594DCB25 +P 3200 8150 +F 0 "D49" V 3246 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 3155 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3200 8150 50 0001 C CNN +F 3 "" V 3200 8150 50 0000 C CNN +F 4 "ON" H 3200 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 3200 8150 50 0001 C CNN "Manufacturer_No" + 1 3200 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D50 +U 1 1 594DCB2B +P 4050 8150 +F 0 "D50" V 4096 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 4005 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4050 8150 50 0001 C CNN +F 3 "" V 4050 8150 50 0000 C CNN +F 4 "ON" H 4050 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4050 8150 50 0001 C CNN "Manufacturer_No" + 1 4050 8150 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW51 +U 1 1 594DCB31 +P 4900 7850 +F 0 "SW51" H 5191 7971 50 0000 L CNN +F 1 "Choc" H 5191 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 4900 7850 50 0001 C CNN +F 3 "" H 4900 7850 50 0000 C CNN +F 4 "Kailh" H 4900 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 4900 7850 50 0001 C CNN "Manufacturer_No" + 1 4900 7850 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW52 +U 1 1 594DCB37 +P 5750 7850 +F 0 "SW52" H 6041 7971 50 0000 L CNN +F 1 "Choc" H 6041 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 5750 7850 50 0001 C CNN +F 3 "" H 5750 7850 50 0000 C CNN +F 4 "Kailh" H 5750 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 5750 7850 50 0001 C CNN "Manufacturer_No" + 1 5750 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D51 +U 1 1 594DCB3E +P 4900 8150 +F 0 "D51" V 4946 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 4855 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4900 8150 50 0001 C CNN +F 3 "" V 4900 8150 50 0000 C CNN +F 4 "ON" H 4900 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 4900 8150 50 0001 C CNN "Manufacturer_No" + 1 4900 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D52 +U 1 1 594DCB44 +P 5750 8150 +F 0 "D52" V 5796 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 5705 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5750 8150 50 0001 C CNN +F 3 "" V 5750 8150 50 0000 C CNN +F 4 "ON" H 5750 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 5750 8150 50 0001 C CNN "Manufacturer_No" + 1 5750 8150 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW53 +U 1 1 594DCB4A +P 6600 7850 +F 0 "SW53" H 6891 7971 50 0000 L CNN +F 1 "Choc" H 6891 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 6600 7850 50 0001 C CNN +F 3 "" H 6600 7850 50 0000 C CNN +F 4 "Kailh" H 6600 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 6600 7850 50 0001 C CNN "Manufacturer_No" + 1 6600 7850 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW54 +U 1 1 594DCB50 +P 7450 7850 +F 0 "SW54" H 7741 7971 50 0000 L CNN +F 1 "Choc" H 7741 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 7450 7850 50 0001 C CNN +F 3 "" H 7450 7850 50 0000 C CNN +F 4 "Kailh" H 7450 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 7450 7850 50 0001 C CNN "Manufacturer_No" + 1 7450 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D53 +U 1 1 594DCB57 +P 6600 8150 +F 0 "D53" V 6646 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 6555 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6600 8150 50 0001 C CNN +F 3 "" V 6600 8150 50 0000 C CNN +F 4 "ON" H 6600 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 6600 8150 50 0001 C CNN "Manufacturer_No" + 1 6600 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D54 +U 1 1 594DCB5D +P 7450 8150 +F 0 "D54" V 7496 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 7405 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7450 8150 50 0001 C CNN +F 3 "" V 7450 8150 50 0000 C CNN +F 4 "ON" H 7450 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 7450 8150 50 0001 C CNN "Manufacturer_No" + 1 7450 8150 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW55 +U 1 1 594DCB63 +P 8300 7850 +F 0 "SW55" H 8591 7971 50 0000 L CNN +F 1 "Choc" H 8591 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 8300 7850 50 0001 C CNN +F 3 "" H 8300 7850 50 0000 C CNN +F 4 "Kailh" H 8300 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 8300 7850 50 0001 C CNN "Manufacturer_No" + 1 8300 7850 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW56 +U 1 1 594DCB69 +P 9150 7850 +F 0 "SW56" H 9441 7971 50 0000 L CNN +F 1 "Choc" H 9441 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 9150 7850 50 0001 C CNN +F 3 "" H 9150 7850 50 0000 C CNN +F 4 "Kailh" H 9150 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 9150 7850 50 0001 C CNN "Manufacturer_No" + 1 9150 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D55 +U 1 1 594DCB70 +P 8300 8150 +F 0 "D55" V 8346 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 8255 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8300 8150 50 0001 C CNN +F 3 "" V 8300 8150 50 0000 C CNN +F 4 "ON" H 8300 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 8300 8150 50 0001 C CNN "Manufacturer_No" + 1 8300 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D56 +U 1 1 594DCB76 +P 9150 8150 +F 0 "D56" V 9196 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 9105 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9150 8150 50 0001 C CNN +F 3 "" V 9150 8150 50 0000 C CNN +F 4 "ON" H 9150 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 9150 8150 50 0001 C CNN "Manufacturer_No" + 1 9150 8150 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW57 +U 1 1 594DCB7C +P 10000 7850 +F 0 "SW57" H 10291 7971 50 0000 L CNN +F 1 "Choc" H 10291 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10000 7850 50 0001 C CNN +F 3 "" H 10000 7850 50 0000 C CNN +F 4 "Kailh" H 10000 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10000 7850 50 0001 C CNN "Manufacturer_No" + 1 10000 7850 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW58 +U 1 1 594DCB82 +P 10850 7850 +F 0 "SW58" H 11141 7971 50 0000 L CNN +F 1 "Choc" H 11141 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 10850 7850 50 0001 C CNN +F 3 "" H 10850 7850 50 0000 C CNN +F 4 "Kailh" H 10850 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 10850 7850 50 0001 C CNN "Manufacturer_No" + 1 10850 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D57 +U 1 1 594DCB89 +P 10000 8150 +F 0 "D57" V 10046 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 9955 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10000 8150 50 0001 C CNN +F 3 "" V 10000 8150 50 0000 C CNN +F 4 "ON" H 10000 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10000 8150 50 0001 C CNN "Manufacturer_No" + 1 10000 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D58 +U 1 1 594DCB8F +P 10850 8150 +F 0 "D58" V 10896 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 10805 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10850 8150 50 0001 C CNN +F 3 "" V 10850 8150 50 0000 C CNN +F 4 "ON" H 10850 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 10850 8150 50 0001 C CNN "Manufacturer_No" + 1 10850 8150 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW59 +U 1 1 594DCB95 +P 11700 7850 +F 0 "SW59" H 11991 7971 50 0000 L CNN +F 1 "Choc" H 11991 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 11700 7850 50 0001 C CNN +F 3 "" H 11700 7850 50 0000 C CNN +F 4 "Kailh" H 11700 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 11700 7850 50 0001 C CNN "Manufacturer_No" + 1 11700 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D59 +U 1 1 594DCBA2 +P 11700 8150 +F 0 "D59" V 11746 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 11655 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 11700 8150 50 0001 C CNN +F 3 "" V 11700 8150 50 0000 C CNN +F 4 "ON" H 11700 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 11700 8150 50 0001 C CNN "Manufacturer_No" + 1 11700 8150 + 0 -1 -1 0 +$EndComp +$Comp +L Device:D_Small D25 +U 1 1 594DACCF +P 3200 6000 +F 0 "D25" V 3246 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 3155 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3200 6000 50 0001 C CNN +F 3 "" V 3200 6000 50 0000 C CNN +F 4 "ON" H 3200 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 3200 6000 50 0001 C CNN "Manufacturer_No" + 1 3200 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW25 +U 1 1 594DACC2 +P 3200 5700 +F 0 "SW25" H 3491 5821 50 0000 L CNN +F 1 "Choc" H 3491 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 3200 5700 50 0001 C CNN +F 3 "" H 3200 5700 50 0000 C CNN +F 4 "Kailh" H 3200 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 3200 5700 50 0001 C CNN "Manufacturer_No" + 1 3200 5700 + 1 0 0 -1 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW13 +U 1 1 594EABB7 +P 3200 4600 +F 0 "SW13" H 3491 4721 50 0000 L CNN +F 1 "Choc" H 3491 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 3200 4600 50 0001 C CNN +F 3 "" H 3200 4600 50 0000 C CNN +F 4 "Kailh" H 3200 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 3200 4600 50 0001 C CNN "Manufacturer_No" + 1 3200 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D13 +U 1 1 594EABBD +P 3200 4900 +F 0 "D13" V 3246 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 3155 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3200 4900 50 0001 C CNN +F 3 "" V 3200 4900 50 0000 C CNN +F 4 "ON" H 3200 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 3200 4900 50 0001 C CNN "Manufacturer_No" + 1 3200 4900 + 0 -1 -1 0 +$EndComp +Text GLabel 2000 3900 0 60 Input ~ 0 +ROW1 +Text GLabel 2000 4000 0 60 Input ~ 0 +ROW2 +Text GLabel 2000 4100 0 60 Input ~ 0 +ROW3 +Text GLabel 2000 4200 0 60 Input ~ 0 +ROW4 +Text GLabel 2000 4300 0 60 Input ~ 0 +ROW5 +Text GLabel 2800 2400 1 60 Input ~ 0 +COL1 +Text GLabel 2900 2400 1 60 Input ~ 0 +COL2 +Text GLabel 3000 2400 1 60 Input ~ 0 +COL3 +Text GLabel 3100 2400 1 60 Input ~ 0 +COL4 +Text GLabel 3200 2400 1 60 Input ~ 0 +COL5 +Text GLabel 3300 2400 1 60 Input ~ 0 +COL6 +Text GLabel 3400 2400 1 60 Input ~ 0 +COL7 +Text GLabel 3500 2400 1 60 Input ~ 0 +COL8 +Text GLabel 3600 2400 1 60 Input ~ 0 +COL9 +Text GLabel 3700 2400 1 60 Input ~ 0 +COL10 +Text GLabel 3800 2400 1 60 Input ~ 0 +COL11 +Wire Wire Line + 2800 2400 2800 3150 +Wire Wire Line + 3200 3150 2800 3150 +Connection ~ 2800 3150 +Wire Wire Line + 3200 4250 2800 4250 +Connection ~ 2800 4250 +Wire Wire Line + 4050 5350 3700 5350 +Wire Wire Line + 4050 6400 3700 6400 +Wire Wire Line + 3200 7500 2800 7500 +Wire Wire Line + 2000 4000 2500 4000 +Wire Wire Line + 2500 4000 2500 5000 +Wire Wire Line + 2000 4100 2400 4100 +Wire Wire Line + 2400 4100 2400 6100 +Wire Wire Line + 2300 7150 2300 4200 +Wire Wire Line + 2300 4200 2000 4200 +Wire Wire Line + 2000 4300 2200 4300 +Wire Wire Line + 2200 4300 2200 8250 +Wire Wire Line + 2900 2400 2900 3100 +Wire Wire Line + 2900 3100 3700 3100 +Wire Wire Line + 4050 3100 4050 3150 +Wire Wire Line + 3000 2400 3000 3050 +Wire Wire Line + 3000 3050 4550 3050 +Wire Wire Line + 4900 3050 4900 3150 +Wire Wire Line + 3100 2400 3100 3000 +Wire Wire Line + 3100 3000 5400 3000 +Wire Wire Line + 5750 3000 5750 3150 +Wire Wire Line + 3200 2400 3200 2950 +Wire Wire Line + 3200 2950 6250 2950 +Wire Wire Line + 6600 2950 6600 3150 +Wire Wire Line + 3300 2400 3300 2900 +Wire Wire Line + 3300 2900 7100 2900 +Wire Wire Line + 7450 2900 7450 3150 +Wire Wire Line + 3400 2400 3400 2850 +Wire Wire Line + 3400 2850 7950 2850 +Wire Wire Line + 8300 2850 8300 3150 +Wire Wire Line + 3500 2400 3500 2800 +Wire Wire Line + 3500 2800 8800 2800 +Wire Wire Line + 9150 2800 9150 3150 +Wire Wire Line + 3600 2400 3600 2750 +Wire Wire Line + 3600 2750 9650 2750 +Wire Wire Line + 10000 2750 10000 3150 +Wire Wire Line + 10850 2700 10850 3150 +Wire Wire Line + 3700 2700 10500 2700 +Wire Wire Line + 3700 2700 3700 2400 +Wire Wire Line + 3800 2400 3800 2650 +Wire Wire Line + 11700 2650 11700 3150 +Wire Wire Line + 3700 3100 3700 4250 +Connection ~ 3700 3100 +Wire Wire Line + 4050 4250 3700 4250 +Wire Wire Line + 4900 5350 4550 5350 +Connection ~ 4550 5350 +Wire Wire Line + 4900 6400 4550 6400 +Connection ~ 4550 6400 +Wire Wire Line + 4050 7500 3700 7500 +Wire Wire Line + 4550 3050 4550 4250 +Connection ~ 4550 3050 +Wire Wire Line + 5400 3000 5400 4250 +Connection ~ 5400 3000 +Wire Wire Line + 6250 2950 6250 4250 +Connection ~ 6250 2950 +Wire Wire Line + 7100 2900 7100 4250 +Connection ~ 7100 2900 +Wire Wire Line + 7950 2850 7950 4250 +Connection ~ 7950 2850 +Wire Wire Line + 8800 2800 8800 4250 +Connection ~ 8800 2800 +Connection ~ 9650 2750 +Wire Wire Line + 10500 2700 10500 4250 +Connection ~ 10500 2700 +Wire Wire Line + 11350 2650 11350 4250 +Connection ~ 11350 2650 +Wire Wire Line + 5750 6400 5400 6400 +Connection ~ 5400 6400 +Wire Wire Line + 5750 5350 5400 5350 +Connection ~ 5400 5350 +Wire Wire Line + 4900 4250 4550 4250 +Connection ~ 4550 4250 +Wire Wire Line + 4900 7500 4550 7500 +Wire Wire Line + 5750 7500 5400 7500 +Wire Wire Line + 6600 6400 6250 6400 +Connection ~ 6250 6400 +Wire Wire Line + 6600 5350 6250 5350 +Connection ~ 6250 5350 +Wire Wire Line + 5750 4250 5400 4250 +Connection ~ 5400 4250 +Wire Wire Line + 6600 4250 6250 4250 +Connection ~ 6250 4250 +Wire Wire Line + 7450 4250 7100 4250 +Connection ~ 7100 4250 +Wire Wire Line + 8300 4250 7950 4250 +Connection ~ 7950 4250 +Wire Wire Line + 9150 4250 8800 4250 +Connection ~ 8800 4250 +Wire Wire Line + 10000 5350 9650 5350 +Connection ~ 9650 5350 +Wire Wire Line + 9150 5350 8800 5350 +Connection ~ 8800 5350 +Wire Wire Line + 8300 5350 7950 5350 +Connection ~ 7950 5350 +Wire Wire Line + 7450 5350 7100 5350 +Connection ~ 7100 5350 +Wire Wire Line + 7450 6400 7100 6400 +Connection ~ 7100 6400 +Wire Wire Line + 8300 6400 7950 6400 +Connection ~ 7950 6400 +Wire Wire Line + 9150 6400 8800 6400 +Connection ~ 8800 6400 +Wire Wire Line + 10000 6400 9650 6400 +Connection ~ 9650 6400 +Wire Wire Line + 10500 6400 10850 6400 +Connection ~ 10500 6400 +Wire Wire Line + 10500 5350 10850 5350 +Connection ~ 10500 5350 +Wire Wire Line + 10000 4250 9650 4250 +Connection ~ 9650 4250 +Wire Wire Line + 10850 4250 10500 4250 +Connection ~ 10500 4250 +Wire Wire Line + 11700 5350 11350 5350 +Connection ~ 11350 5350 +Wire Wire Line + 11700 6400 11350 6400 +Connection ~ 11350 6400 +Wire Wire Line + 10500 7500 10850 7500 +Wire Wire Line + 9650 7500 10000 7500 +Wire Wire Line + 9150 7500 8800 7500 +Wire Wire Line + 8300 7500 7950 7500 +Wire Wire Line + 7450 7500 7100 7500 +Wire Wire Line + 6600 7500 6250 7500 +Wire Wire Line + 11700 4250 11350 4250 +Connection ~ 11350 4250 +Wire Wire Line + 9650 2750 9650 4250 +Wire Wire Line + 11350 7500 11700 7500 +Wire Wire Line + 2800 3150 2800 4250 +Wire Wire Line + 3700 3100 4050 3100 +Wire Wire Line + 4550 4250 4550 5350 +Wire Wire Line + 4550 5350 4550 6400 +Wire Wire Line + 3700 6400 3700 7500 +Wire Wire Line + 4550 3050 4900 3050 +Wire Wire Line + 5400 3000 5750 3000 +Wire Wire Line + 6250 2950 6600 2950 +Wire Wire Line + 7100 2900 7450 2900 +Wire Wire Line + 7950 2850 8300 2850 +Wire Wire Line + 8800 2800 9150 2800 +Wire Wire Line + 9650 2750 10000 2750 +Wire Wire Line + 10500 2700 10850 2700 +Wire Wire Line + 11350 2650 11700 2650 +Wire Wire Line + 4550 6400 4550 7500 +Wire Wire Line + 5400 5350 5400 6400 +Wire Wire Line + 5400 4250 5400 5350 +Wire Wire Line + 5400 6400 5400 7500 +Wire Wire Line + 6250 5350 6250 6400 +Wire Wire Line + 6250 4250 6250 5350 +Wire Wire Line + 7100 4250 7100 5350 +Wire Wire Line + 7950 4250 7950 5350 +Wire Wire Line + 8800 4250 8800 5350 +Wire Wire Line + 9650 4250 9650 5350 +Wire Wire Line + 9650 5350 9650 6400 +Wire Wire Line + 8800 5350 8800 6400 +Wire Wire Line + 7950 5350 7950 6400 +Wire Wire Line + 7100 5350 7100 6400 +Wire Wire Line + 6250 6400 6250 7500 +Wire Wire Line + 7100 6400 7100 7500 +Wire Wire Line + 7950 6400 7950 7500 +Wire Wire Line + 8800 6400 8800 7500 +Wire Wire Line + 9650 6400 9650 7500 +Wire Wire Line + 10500 5350 10500 6400 +Wire Wire Line + 10500 4250 10500 5350 +Wire Wire Line + 11350 4250 11350 5350 +Wire Wire Line + 11350 5350 11350 6400 +Wire Wire Line + 10500 6400 10500 7500 +Wire Wire Line + 11350 6400 11350 7500 +Wire Wire Line + 2000 3900 3200 3900 +Connection ~ 4050 5000 +Wire Wire Line + 4050 5000 4900 5000 +Connection ~ 4050 6100 +Wire Wire Line + 4050 6100 4900 6100 +Connection ~ 4050 7150 +Wire Wire Line + 4050 7150 4900 7150 +Wire Wire Line + 3200 8250 4050 8250 +Connection ~ 4900 5000 +Wire Wire Line + 4900 5000 5750 5000 +Connection ~ 4900 6100 +Wire Wire Line + 4900 6100 5750 6100 +Connection ~ 4900 7150 +Wire Wire Line + 4900 7150 5750 7150 +Connection ~ 4050 8250 +Wire Wire Line + 4050 8250 4900 8250 +Connection ~ 5750 5000 +Wire Wire Line + 5750 5000 6600 5000 +Connection ~ 5750 6100 +Wire Wire Line + 5750 6100 6600 6100 +Connection ~ 5750 7150 +Wire Wire Line + 5750 7150 6600 7150 +Connection ~ 4900 8250 +Wire Wire Line + 4900 8250 5750 8250 +Connection ~ 6600 5000 +Wire Wire Line + 6600 5000 7450 5000 +Connection ~ 6600 6100 +Wire Wire Line + 6600 6100 7450 6100 +Connection ~ 6600 7150 +Wire Wire Line + 6600 7150 7450 7150 +Connection ~ 5750 8250 +Wire Wire Line + 5750 8250 6600 8250 +Connection ~ 7450 5000 +Wire Wire Line + 7450 5000 8300 5000 +Connection ~ 7450 6100 +Wire Wire Line + 7450 6100 8300 6100 +Connection ~ 7450 7150 +Wire Wire Line + 7450 7150 8300 7150 +Connection ~ 6600 8250 +Wire Wire Line + 6600 8250 7450 8250 +Connection ~ 8300 5000 +Wire Wire Line + 8300 5000 9150 5000 +Connection ~ 8300 6100 +Wire Wire Line + 8300 6100 9150 6100 +Connection ~ 8300 7150 +Wire Wire Line + 8300 7150 9150 7150 +Connection ~ 7450 8250 +Wire Wire Line + 7450 8250 8300 8250 +Connection ~ 9150 5000 +Wire Wire Line + 9150 5000 10000 5000 +Connection ~ 9150 6100 +Wire Wire Line + 9150 6100 10000 6100 +Connection ~ 9150 7150 +Wire Wire Line + 9150 7150 10000 7150 +Connection ~ 8300 8250 +Wire Wire Line + 8300 8250 9150 8250 +Connection ~ 10000 5000 +Wire Wire Line + 10000 5000 10850 5000 +Connection ~ 10000 6100 +Wire Wire Line + 10000 6100 10850 6100 +Connection ~ 10000 7150 +Wire Wire Line + 10000 7150 10850 7150 +Connection ~ 9150 8250 +Wire Wire Line + 9150 8250 10000 8250 +Connection ~ 10850 5000 +Wire Wire Line + 10850 5000 11700 5000 +Connection ~ 10850 6100 +Wire Wire Line + 10850 6100 11700 6100 +Connection ~ 10850 7150 +Wire Wire Line + 10850 7150 11700 7150 +Connection ~ 10000 8250 +Wire Wire Line + 10000 8250 10850 8250 +Connection ~ 10850 8250 +Wire Wire Line + 10850 8250 11700 8250 +Wire Wire Line + 2500 5000 3200 5000 +Connection ~ 3200 5000 +Wire Wire Line + 3200 5000 4050 5000 +Wire Wire Line + 2400 6100 3200 6100 +Connection ~ 3200 6100 +Wire Wire Line + 3200 6100 4050 6100 +Connection ~ 3200 7150 +Wire Wire Line + 3200 7150 4050 7150 +Wire Wire Line + 2300 7150 3200 7150 +Wire Wire Line + 2800 6400 3200 6400 +Connection ~ 2800 6400 +Wire Wire Line + 2800 6400 2800 7500 +Wire Wire Line + 3200 5350 2800 5350 +Wire Wire Line + 2800 4250 2800 5350 +Connection ~ 2800 5350 +Wire Wire Line + 2800 5350 2800 6400 +Wire Wire Line + 3700 4250 3700 5350 +Connection ~ 3700 4250 +Connection ~ 3700 5350 +Wire Wire Line + 3700 5350 3700 6400 +Connection ~ 3200 3900 +Wire Wire Line + 3200 3900 4050 3900 +Connection ~ 4050 3900 +Wire Wire Line + 4050 3900 4900 3900 +Connection ~ 4900 3900 +Wire Wire Line + 4900 3900 5750 3900 +Connection ~ 5750 3900 +Wire Wire Line + 5750 3900 6600 3900 +Connection ~ 6600 3900 +Wire Wire Line + 6600 3900 7450 3900 +Connection ~ 7450 3900 +Wire Wire Line + 7450 3900 8300 3900 +Connection ~ 8300 3900 +Wire Wire Line + 8300 3900 9150 3900 +Connection ~ 9150 3900 +Wire Wire Line + 9150 3900 10000 3900 +Connection ~ 10000 3900 +Wire Wire Line + 10000 3900 10850 3900 +Connection ~ 10850 3900 +Wire Wire Line + 10850 3900 11700 3900 +Connection ~ 3700 6400 +Wire Wire Line + 2200 8250 3200 8250 +Connection ~ 3200 8250 +Text Notes 8300 1950 0 60 ~ 0 +Matrix +Text GLabel 3900 2400 1 60 Input ~ 0 +COL12 +$Comp +L mntcomp-keyboard:Choc SW12 +U 1 1 6192C6E2 +P 12550 3500 +F 0 "SW12" H 12841 3621 50 0000 L CNN +F 1 "Choc" H 12841 3530 50 0000 L CNN +F 2 "keyswitches:PG1350" H 12550 3500 50 0001 C CNN +F 3 "" H 12550 3500 50 0000 C CNN +F 4 "Kailh" H 12550 3500 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 12550 3500 50 0001 C CNN "Manufacturer_No" + 1 12550 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D12 +U 1 1 6192CF26 +P 12550 3800 +F 0 "D12" V 12596 3732 50 0000 R CNN +F 1 "MMDL914T1G" V 12505 3732 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 12550 3800 50 0001 C CNN +F 3 "" V 12550 3800 50 0000 C CNN +F 4 "ON" H 12550 3800 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 12550 3800 50 0001 C CNN "Manufacturer_No" + 1 12550 3800 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW24 +U 1 1 6192CF32 +P 12550 4600 +F 0 "SW24" H 12841 4721 50 0000 L CNN +F 1 "Choc" H 12841 4630 50 0000 L CNN +F 2 "keyswitches:PG1350" H 12550 4600 50 0001 C CNN +F 3 "" H 12550 4600 50 0000 C CNN +F 4 "Kailh" H 12550 4600 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 12550 4600 50 0001 C CNN "Manufacturer_No" + 1 12550 4600 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D24 +U 1 1 6192CF3E +P 12550 4900 +F 0 "D24" V 12596 4832 50 0000 R CNN +F 1 "MMDL914T1G" V 12505 4832 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 12550 4900 50 0001 C CNN +F 3 "" V 12550 4900 50 0000 C CNN +F 4 "ON" H 12550 4900 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 12550 4900 50 0001 C CNN "Manufacturer_No" + 1 12550 4900 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW36 +U 1 1 6192CF4A +P 12550 5700 +F 0 "SW36" H 12841 5821 50 0000 L CNN +F 1 "Choc" H 12841 5730 50 0000 L CNN +F 2 "keyswitches:PG1350" H 12550 5700 50 0001 C CNN +F 3 "" H 12550 5700 50 0000 C CNN +F 4 "Kailh" H 12550 5700 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 12550 5700 50 0001 C CNN "Manufacturer_No" + 1 12550 5700 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D36 +U 1 1 6192CF56 +P 12550 6000 +F 0 "D36" V 12596 5932 50 0000 R CNN +F 1 "MMDL914T1G" V 12505 5932 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 12550 6000 50 0001 C CNN +F 3 "" V 12550 6000 50 0000 C CNN +F 4 "ON" H 12550 6000 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 12550 6000 50 0001 C CNN "Manufacturer_No" + 1 12550 6000 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW48 +U 1 1 6192CF62 +P 12550 6750 +F 0 "SW48" H 12841 6871 50 0000 L CNN +F 1 "Choc" H 12841 6780 50 0000 L CNN +F 2 "keyswitches:PG1350" H 12550 6750 50 0001 C CNN +F 3 "" H 12550 6750 50 0000 C CNN +F 4 "Kailh" H 12550 6750 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 12550 6750 50 0001 C CNN "Manufacturer_No" + 1 12550 6750 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D48 +U 1 1 6192CF6E +P 12550 7050 +F 0 "D48" V 12596 6982 50 0000 R CNN +F 1 "MMDL914T1G" V 12505 6982 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 12550 7050 50 0001 C CNN +F 3 "" V 12550 7050 50 0000 C CNN +F 4 "ON" H 12550 7050 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 12550 7050 50 0001 C CNN "Manufacturer_No" + 1 12550 7050 + 0 -1 -1 0 +$EndComp +$Comp +L mntcomp-keyboard:Choc SW60 +U 1 1 6192CF7A +P 12550 7850 +F 0 "SW60" H 12841 7971 50 0000 L CNN +F 1 "Choc" H 12841 7880 50 0000 L CNN +F 2 "keyswitches:PG1350" H 12550 7850 50 0001 C CNN +F 3 "" H 12550 7850 50 0000 C CNN +F 4 "Kailh" H 12550 7850 50 0001 C CNN "Manufacturer" +F 5 "CPG135001D02" H 12550 7850 50 0001 C CNN "Manufacturer_No" + 1 12550 7850 + 1 0 0 -1 +$EndComp +$Comp +L Device:D_Small D60 +U 1 1 6192CF86 +P 12550 8150 +F 0 "D60" V 12596 8082 50 0000 R CNN +F 1 "MMDL914T1G" V 12505 8082 50 0000 R CNN +F 2 "Diode_SMD:D_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 12550 8150 50 0001 C CNN +F 3 "" V 12550 8150 50 0000 C CNN +F 4 "ON" H 12550 8150 50 0001 C CNN "Manufacturer" +F 5 "MMDL914T1G" H 12550 8150 50 0001 C CNN "Manufacturer_No" + 1 12550 8150 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 12550 2600 12550 3150 +Wire Wire Line + 12200 2600 12200 4250 +Wire Wire Line + 12550 5350 12200 5350 +Connection ~ 12200 5350 +Wire Wire Line + 12550 6400 12200 6400 +Connection ~ 12200 6400 +Wire Wire Line + 12550 4250 12200 4250 +Connection ~ 12200 4250 +Wire Wire Line + 12200 7500 12550 7500 +Wire Wire Line + 12200 2600 12550 2600 +Wire Wire Line + 12200 4250 12200 5350 +Wire Wire Line + 12200 5350 12200 6400 +Wire Wire Line + 12200 6400 12200 7500 +Wire Wire Line + 11700 5000 12550 5000 +Wire Wire Line + 11700 6100 12550 6100 +Wire Wire Line + 11700 7150 12550 7150 +Wire Wire Line + 11700 8250 12550 8250 +Wire Wire Line + 11700 3900 12550 3900 +Connection ~ 11700 3900 +Connection ~ 11700 5000 +Connection ~ 11700 6100 +Connection ~ 11700 7150 +Connection ~ 11700 8250 +Wire Wire Line + 3800 2650 11350 2650 +Wire Wire Line + 12200 2600 3900 2600 +Wire Wire Line + 3900 2600 3900 2400 +Connection ~ 12200 2600 +$Sheet +S 2850 9300 500 150 +U 6195BBBB +F0 "Pocket Reform KBD MCU" 50 +F1 "pocket-reform-kbd-mcu.sch" 50 +$EndSheet +$EndSCHEMATC